KR101800996B1 - 기판 상의 콘택 개구 패터닝 방법 - Google Patents

기판 상의 콘택 개구 패터닝 방법 Download PDF

Info

Publication number
KR101800996B1
KR101800996B1 KR1020167031529A KR20167031529A KR101800996B1 KR 101800996 B1 KR101800996 B1 KR 101800996B1 KR 1020167031529 A KR1020167031529 A KR 1020167031529A KR 20167031529 A KR20167031529 A KR 20167031529A KR 101800996 B1 KR101800996 B1 KR 101800996B1
Authority
KR
South Korea
Prior art keywords
layer
patterned layer
patterned
pattern
photoresist
Prior art date
Application number
KR1020167031529A
Other languages
English (en)
Other versions
KR20160138302A (ko
Inventor
안톤 제이 데빌리어스
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20160138302A publication Critical patent/KR20160138302A/ko
Application granted granted Critical
Publication of KR101800996B1 publication Critical patent/KR101800996B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/40Treatment after imagewise removal, e.g. baking
    • G03F7/405Treatment with inorganic or organometallic reagents after imagewise removal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Organic Chemistry (AREA)
  • Photosensitive Polymer And Photoresist Processing (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Drying Of Semiconductors (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

본 발명에서의 기술은 콘택 개구를 패터닝하기 위한 방법을 포함하는, 기판 패터닝 방법을 포함한다. 여기에서의 기술을 사용하여, 대략 1~30 nm 이하 사이에서 선택된 폭을 갖는 슬롯 콘택 및 다른 개구가 생성될 수 있다. 방법은 이중 패터닝 계획의 부분으로서 광산의 확산 길이에 의해 규정된 트렌치 폭을 생성하는 단계를 포함한다. 그 후, 이들 트렌치가 충전되고, 트렌치의 세그먼트를 격리시키기 위해 분리된 마스크가 사용될 수 있다. 그 후, 상기 세그먼트는 압출될 수 있어서, 금속화될 준비가 된 슬롯 콘택 개구를 형성한다. 이들 슬롯 콘택은 리소그래피 노출 기술에 의해 규정된 길이, 및 광산 확산 길이에 의해 규정된 폭을 갖는다.

Description

기판 상의 콘택 개구 패터닝 방법{METHOD FOR PATTERNING CONTACT OPENINGS ON A SUBSTRATE}
본 출원은 그 전체가 여기에 참조 인용된, 2014년 4월 10일자 출원되고 발명의 명칭이 "기판 상의 콘택 개구 패터닝 방법"인 미국 가특허출원 제61/977,864호의 이익을 청구한다.
본 발명은 반도체 기판의 패터닝 시 포함되는 공정뿐만 아니라 집적회로의 미세제조를 포함하는 미세제조에 관한 것이다.
물질 가공 방법론(포토리소그래피와 같은)에 있어서, 패터닝된 층을 생성하는 단계는 포토레지스트와 같은 감방사선성(radiation-sensitive) 물질의 박층을 기판의 상면에 적용하는 단계를 포함한다. 상기 감방사선성 물질은 기판 상에서 패턴을 하부층으로 에칭하거나 전사하는데 사용될 수 있는 패터닝된 마스크로 변형된다. 감방사선성 물질의 패터닝은, 예를 들어 포토리소그래피 시스템을 사용하여, 레티클(및 그 관련 옵틱스)을 통해 방사선 소스에 의해 상기 감방사선성 물질 상으로의 노출을 포함한다. 이런 노출은 그 후 현상될 수 있는 감방사선성 물질 내에 잠상 패턴을 생성한다. 현상 단계는 지형적 또는 물리적 패턴을 생성하기 위해 감방사선성 물질의 일부를 용해하고 그리고 제거하는 단계를 지칭한다. 예를 들어, 현상 단계는 현상 용매를 사용하여 (포지티브 포토레지스트의 경우처럼) 감방사선성 물질의 조사된 영역의, 또는 (네거티브 레지스트의 경우처럼) 비-조사된 영역의 제거를 포함할 수 있다. 상기 지형적 패턴은 그 후 마스크층으로서 기능할 수 있다.
패터닝에 사용된 다양한 막의 준비 및 현상은 열처리 또는 베이킹(baking)을 포함할 수 있다. 예를 들어, 새롭게 적용된 막은 용매를 증발시키기 위해 및/또는 구조적 강성도 또는 에칭 저항도를 증가시키기 위해, 후-적용 베이크(post-application bake)(PAB)를 요구할 수 있다. 또한, 후-노출 베이크(post-exposure bake)(PEB)는 추가적인 용해를 방지하기 위해 주어진 패턴을 설정하도록 실시될 수 있다. 기판을 코팅하고 그리고 현상하기 위한 제조 툴은, 전형적으로 하나 또는 그 이상의 베이킹 모듈을 포함한다. 일부 리소그래피 공정은, 레지스트에 의한 코팅이 이어지는, 바닥 반사-방지 코팅(Bottom Anti-reflective Coating)(BARC)의 박막으로 웨이퍼를 코팅하는 단계, 및 그 후 마이크로칩을 생성하기 위한 공정 단계로서 웨이퍼를 광의 패턴에 노출시키는 단계를 포함한다. BARC 는 노출 중 나머지 광선을 흡수하기 위해 전형적으로 기판과 레지스트 층 사이에 위치되는 박막이다. 이는 노출 중 반사된 광선에 의해 생성되는 거친 에지를 방지하기 위한 것이다. 또한, BARC 는 이전에 생성된 층이 다시 노출되는 것을 방지하기 위해 다층 노출 사이에 사용된다.
방사선 또는 광의 패턴을 기판 상으로 노출시키기 위한 통상적인 리소그래피 기술은, 노출된 특징부의 크기를 제한하고 또한 노출된 특징부 사이의 피치 또는 이격을 제한하는 다양한 도전과제를 갖고 있다. 포토리소그래피 노출을 사용하여 융합 가능한 제한된 해상도 때문에, 노출 툴이 신뢰성 있게 제공할 수 있는 것의 해상도를 넘어 막을 패터닝하기 위해 다양한 접근법이 도입되었다. 이들 기술은 이중 패터닝, 피치 증배(pitch multiplication)(정교해질 피치 밀도 증배), 또는 서브-해상도 패터닝으로서 알려져 있다. 이들 접근법은 현재 통상적인 리소그래피 기술로 가능한 것 보다 더 작은 피치로 더 작은 특징부의 패터닝을 허용할 수 있다. 다양한 이중 패터닝 접근법으로는, 예를 들어, 리소/에칭/리소/에칭(Litho/Etch/Litho/Etch)(LELE), 리소/리소/에칭(LLE), 리소/프리즈/리소/에칭(Litho/Freeze/Litho/Etch)(LFLE)이 있다. 이들 패터닝 접근법은 특징부 크기를 감소시키지만, 그러나 여전히 도전과제를 갖고 있다.
더 작은 특징부 크기로의 제조 시 하나의 도전과제는, 후속의 금속화를 위해 슬롯-형상 또는 세장형 개구를 포함하는 매우 작은 콘택 개구를 패터닝하는 것이다. 예를 들어, 현재의 설계 노력은 약 10-30 나노미터 보다 더 작은 폭을 갖는 슬롯 콘택을 갖기를 원한다. 그러나 통상적인 노출 기술만으로는 개구를 50-60 나노미터의 폭으로 신뢰성 있게 패터닝할 수 있을 뿐이다. 그러나 여기에 개시되는 기술은 약 1 나노미터 보다 더 작은 폭을 갖는 특징부 및 콘택 개구의 패터닝을 가능하게 한다. 물론, 1-50 나노미터 범위의 폭이 이들 기술로도 실현될 수 있다. 여기에서의 기술은 이중 패터닝 공정을 포함한다. 일반적으로, 이런 패터닝 공정은 촬상된 라인을 2개의 포토레지스트 층을 사용하여 더 작은 라인으로 이중 패터닝하는 단계를 포함한다. 그 후, 슬롯 콘택 개구를 생성하기 위해, 제3 포토레지스트 층이 추가되고, 재촬상되고, 그리고 에칭될 수 있다. 여기에서의 기술의 이점은 주어진 크기의 콘택 개구가 레지스트 타입 및 후-노출 베이크(PEB) 처리에 의해 제어될 수 있다는 점이다.
예시적인 실시예는 기판을 패터닝하는 방법을 포함한다. 이런 패터닝 방법은 여러 가지 단계를 포함할 수 있다. 타겟층(target layer)을 갖는 기판이 수용되거나 준비되고, 패턴-전사층이 상기 타겟층 상에 위치되며, 제1 포토레지스트 층이 패턴-전사층 상에 위치된다. 상기 제1 포토레지스트 층은 지형적(물리적) 패턴을 규정하는 제1 패터닝된 층이다. 제1 포토레지스트 층은 용해도-변경제를 포함한다. 제2 포토레지스트 층은 지형적 패턴을 충전하는 제1 패터닝된 층 상에 퇴적된다. 그 후, 제1 패터닝된 층 상에 발생된 광산(photo acid)이 제2 포토레지스트 층의 제1 부분 내로 확산하도록 유발된다. 이 확산은 제2 포토레지스트 층의 제1 부분이 그 용해도를 변경하기 위한 것이다. 상기 제2 포토레지스트 층은 제2 포토레지스트 층의 제1 부분이 제거되도록 현상되어, 제2 포토레지스트 층 및 제1 포토레지스트 층으로 하여금 함께 제2 패터닝된 층을 규정하게 한다. 상기 제2 패터닝된 층은 그 후 제1 에칭 공정을 통해 패턴-전사층 내로 전사된다. 제1 포토레지스트 층 및 제2 포토레지스트 층은 제거되어, 패턴-전사층으로 하여금 제3 패터닝된 층을 규정하게 한다.
상기 제3 패터닝된 층은 매우 협소한 폭을 갖는 다양한 라인 패턴 또는 트렌치를 포함할 수 있으며, 이런 폭은 광산 확산 길이에 의해 제어된다. 상기 제3 패터닝된 층의 트렌치는 평탄화 물질로 충전될 수 있다. 충전된 패턴은 그 후 상기 충전된 트렌치의 선택된 위치만 노출시키는 추가적인 포토레지스트 마스크로 마스킹될 수 있다. 그 후, 이런 추가적인 마스킹 패턴은 하나 또는 그 이상의 에칭 공정을 통해 타겟층으로 전사될 수 있다. 이런 기술은 노출 툴로만 신뢰성 있게 제조할 수 있는 것 보다 실질적으로 더 작은 폭을 갖는 슬롯-형상의 개구(또는 다른 형상의 개구)를 생성할 수 있다. 따라서 여기에서의 기술은 서브-해상도 슬롯 콘택 및 다른 서브-해상도 특징부를 제공할 수 있다.
물론, 여기에 기재되는 바와 같은 상이한 단계의 논의 순서는 명확함을 위해 제공되었다. 일반적으로, 이들 단계는 임의의 적절한 순서로 수행될 수 있다. 또한, 여기에서의 상이한 특징부, 기술, 구성, 등의 각각이 본 명세서의 상이한 장소에서 논의될 수 있더라도, 각각의 개념들은 서로 또는 서로 조합하여 독립적으로 실시될 수 있는 것으로 의도된다. 따라서 본 발명은 많은 상이한 방법으로 실시 및 관찰될 수 있다.
이 요약서 부분은 본 명세서 또는 청구된 발명의 모든 실시예 및/또는 점진적으로 신규한 양태를 특정하지 않음을 인식해야 한다. 대신에, 이 요약서는 통상적인 기술에 대해 상이한 실시예 및 대응의 신규성 관점의 예비적인 논의만 제공할 뿐이다. 본 발명 및 실시예의 추가적인 세부사항 및/또는 가능한 관점을 위해, 독자는 이하에 추가로 논의되는 바와 같이 본 발명의 상세한 설명 및 대응의 도면으로 향하게 된다.
본 발명의 다양한 실시예 및 그 수반되는 많은 이점의 더욱 완전한 이해는 첨부한 도면과 함께 고려되는 이하의 상세한 설명을 참조하여 쉽게 명확해질 것이다. 도면은 필연적으로 축적대로는 도시되지 않았으며, 그 대신 특징부, 원리, 및 개념을 나타낼 시 강조되었다.
도 1은 여기에 기재된 실시예에 따라 패터닝될 예시적인 기판 스택의 개략적인 횡단 측면도이다.
도 2는 여기에 기재된 실시예에 따라, 잠상 패턴을 현상한 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 3은 여기에 기재된 실시예에 따라, 제2 포토레지스트 층을 퇴적한 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 4a는 여기에 기재된 실시예에 따라, 광산 확산 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 4b는 여기에 기재된 실시예에 따라, 광산 확산 후, 예시적인 기판의 개략적인 횡단 확대측면도이다.
도 5는 여기에 기재된 실시예에 따라, 확산된 부분을 현상한 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 6은 여기에 기재된 실시예에 따라, 조합된 포토레지스트 패턴을 하부층 내로 전사한 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 7은 여기에 기재된 실시예에 따라, 포토레지스트 층을 제거한 후 패터닝된 층을 갖는 예시적인 기판의 개략적인 횡단 측면도이다.
도 8은 여기에 기재된 실시예에 따라, 지형적 패턴을 충전한 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 9는 여기에 기재된 실시예에 따라, 포토레지스트의 제3 층을 퇴적한 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 10은 여기에 기재된 실시예에 따라, 방사선의 패턴에 노출된 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 11은 여기에 기재된 실시예에 따라, 포토레지스트의 제3 층을 현상한 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 12a는 여기에 기재된 실시예에 따라, 충전된 라인의 노출된 부분을 에칭한 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 12b는 여기에 기재된 실시예에 따라, 충전된 라인의 노출된 부분을 에칭한 후, 예시적인 기판의 개략적인 사시도이다.
도 13은 여기에 기재된 실시예에 따라, 노출되어 에칭된 라인을 타겟층으로 전사한 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 14a는 여기에 기재된 실시예에 따라, 타겟층 위의 패터닝 층을 제거한 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 14b는 여기에 기재된 실시예에 따라, 타겟층 위의 패터닝 층을 제거한 후, 예시적인 기판의 개략적인 사시도이다.
도 15는 여기에 기재된 실시예에 따라, 라인의 지형적 패턴을 충전한 후, 예시적인 기판의 개략적인 평면도이다.
도 16은 여기에 기재된 실시예에 따라, 포토레지스트의 제3 층을 퇴적한 후, 예시적인 기판의 개략적인 평면도이다.
도 17은 여기에 기재된 실시예에 따라, 방사선의 패턴에 노출된 후, 예시적인 기판의 개략적인 평면도이다.
도 18은 여기에 기재된 실시예에 따라, 포토레지스트의 제3 층을 현상한 후, 예시적인 기판의 개략적인 평면도이다.
도 19는 여기에 기재된 실시예에 따라, 충전된 라인의 노출된 부분을 에칭하고 그리고 타겟층을 에칭한 후, 예시적인 기판의 개략적인 횡단 측면도이다.
도 20은 여기에 기재된 실시예에 따라, 콘택 개구를 규정하는 타겟층 위의 패터닝 층을 제거한 후, 예시적인 기판의 개략적인 평면도이다.
여기에서의 기술은 콘택 개구를 패터닝하기 위한 방법을 포함하는, 기판 패터닝 방법을 포함한다. 여기에서의 기술을 사용하여, 슬롯-형상의 콘택(슬롯 콘택)은 대략 1 nm 보다 작은 폭을 갖도록 생성될 수 있다. 방법은 이중 패터닝 계획의 부분으로서 광산의 확산 길이에 의해 규정된 트렌치 폭을 생성하는 단계를 포함한다. 그 후, 이들 트렌치는 충전되며, 그리고 트렌치의 세그먼트를 격리시키기 위해 분리된 마스크가 사용될 수 있으며, 이에 따라 슬롯 콘택을 생성한다. 그 후, 상기 세그먼트가 압출될 수 있어서, 금속화될 준비가 된 슬롯 콘택 개구로 나타난다. 이들 슬롯 콘택은 리소그래피 노출 기술에 의해 규정된 길이, 및 광산 확산 길이에 의해 규정된 폭을 갖는다.
여기에서의 기술은 다양한 특징부, 라인, 및 콘택을 패터닝하는데 사용될 수 있다. 달리 말하면, 여기에서의 패터닝 기술은 트랜지스터 구조물, 메모리 어레이, 슬롯 콘택, 및 반도체 디바이스의 미세제조와 관련된 다른 특징부를 생성하는데 사용될 수 있다. 편의 상 여기에서의 방법의 기재에 있어서, 예시적인 실시예는 주로 로직 및 메모리 구조물의 금속화를 위한 슬롯 콘택 개구를 생성하는 내용으로 기재될 것이다. 그럼에도 불구하고, 패터닝 기술의 숙련자라면 슬롯 콘택의 생성을 넘은 용도를 쉽게 인식할 것이다.
따라서 여기에서의 기술은 기판을 패터닝하기 위한 방법을 포함한다. 이제 도 1을 참조하면, 층 또는 막의 스택을 갖는 기판(105)이 수용되고, 준비되고, 또는 생성된다. 상기 기판(105)은 기판(105) 상에 위치되는 타겟층(107)을 포함한다. 기판(105)이 타겟층(107) 아래에 추가적인 층 및 구조물을 포함할 수 있음을 인식해야 한다. 패턴-전사층(110)은 타겟층(107) 상에 위치된다. 제1 포토레지스트 층(111)이 상기 패턴-전사층(110) 상에 위치된다. 상기 제1 포토레지스트 층(111)은 스핀-온 코팅과 같은 통상적인 수단을 통해 퇴적될 수 있다. 여기에 사용된 포토레지스트는, 가시 스펙트럼의 외측의 전자기 방사선에 응답하는 물질을 포함하는 임의의 감방사선성 물질일 수 있음을 인식해야 한다.
이들 층의 각각은 다양한 타입의 물질로부터 선택될 수 있다. 예를 들어, 타겟층(107)은 로우-k 물질 또는 다른 전기 절연성 물질일 수 있다. 비-제한적인 예로서, 패턴-전사층(110)은 실리콘, 실리콘 산화질화물(SiON), 유기 물질, 비-유기 물질, 및 비정질 탄소와 같은 하나 또는 그 이상의 물질을 포함할 수 있다. 상기 패턴-전사층(110)은 실리콘 바닥 반사-방지 코팅(silicon bottom anti-reflective coating)(Si-BARC)을 사용하는 바와 같은 반사-방지 특성을 갖도록 선택될 수도 있다. 제1 포토레지스트 층(111)은 네거티브형 레지스트(negative tone resist)(NTR)이거나 또는 포지티브형 레지스트(positive tone resist)(PTR)일 수 있다. 상기 제1 포토레지스트 층(111)은 용해도-변경제를 포함할 수 있다. 상기 용해도-변경제는 온도-활성형 발생제 또는 온도 산 발생제(temperature acid generator)(TAG)와 같은 광산 발생제일 수 있다. 광산 발생제는 용해도-변경제를 임계 온도보다 높게 가열하는 것이 광산이 제1 포토레지스트 층(111) 내에 발생되게 한다는 점에서, 온도-활성형일 수 있다.
그 후, 상기 제1 포토레지스트 층(111)은 통상적인 리소그래피 노출 기술에 따라 광 또는 전자기 방사선의 패턴에 노출될 수 있다. 리소그래피 노출 중, 잠상 패턴이 제1 포토레지스트 층(111) 내에 생성된다. 이런 잠상 패턴은 선택된 특별한 타입의 포토레지스트에 대응하는 하나 또는 그 이상의 현상제를 사용하여 현상될 수 있다. 예를 들어, 제1 포토레지스트 층(111)의 일부를 용해하고 그리고 제거하기 위해, 테트라메틸암모늄 수산화물(tetramethylammonium hydroxide)(TMAH)이 사용될 수 있다.
도 2는 리소그래피 노출 및 현상의 결과를 나타내는 기판 스택의 세그먼트의 개략적인 횡단면도를 도시하고 있다. 그 결과는 제1 패터닝된 층(131)이다. 선택된 용해도-변경제(초기 포토레지스트 도포로 또는 이에 의해 퇴적됨)는, 포토리소그래피 노출 작동 중 휴지 상태로 존재할 수 있으며, 따라서 포토레지스트의 통상적인 탈-보호에 참여하지 않음을 인식해야 한다. 일부 실시예에 있어서, 제1 패터닝된 층(131)을 이미 갖고 있는 기판(105)의 수용으로 공정 흐름이 시작될 수 있으며, 이는 지형적 패턴, 즉 물리적 패턴을 규정한다. 이 시점에서, 포토레지스트를 경화시키기 위해, 제1 패터닝된 층(131)이 선택적으로 베이킹될 수 있다.
이제 도 3을 참조하면, 제2 포토레지스트 층(112)이 상기 제1 패터닝된 층(131) 상에 퇴적된다. 상기 제2 포토레지스트 층(112)은 포토레지스트 물질이 상기 제1 패터닝된 층(131)에 의해 규정된 트렌치 및 다른 개구를 적어도 부분적으로 충전하도록 퇴적된다. 전형적인 실시예에 있어서, 포토레지스트 물질은 포토레지스트가 현존의 물리적 구조물로 흐르고 그리고 흘러 넘쳐서 상기 제1 패터닝된 층(131)에서 현존의 구조물의 상부에 일부 과잉 상부층(overburden)을 남기는 것을 의미하는 스핀-온 기술을 통해 퇴적될 것이다.
이제 도 4a를 참조하면, 퇴적된 제2 포토레지스트 층(112)에 의해 확산 동작이 시작될 수 있다. 제1 패터닝된 층(131)에 발생된 광산은, 제2 포토레지스트 층(112)의 상기 제1 부분이 하나 또는 그 이상의 주어진 용매에 대해 그 용해도를 변경하도록, 제2 포토레지스트 층(112)의 제1 부분 내로 확산되도록 유발된다. 제2 포토레지스트 층(112)의 제1 부분 내로 이런 확산을 유발시키는 것은 광산으로 하여금 제2 포토레지스트 층(112) 내로 미리 정해진 거리(121) 만큼 확산되게 한다. 상기 미리 정해진 거리(121)는, 특정한 온도에서 특정 거리의 확산성으로 나타나는 광산 발생제의 특정한 분자량을 선택함으로써 선택될 수 있다. 달리 말하면, 특별한 광산이 제2 포토레지스트 층(112) 내로 확산할 거리는, 광산의 분자량, 베이킹 온도, 및 가열 시간에 의존한다. 발생된 광산이 확산을 시작하도록 유발시키는 단계는 상기 제1 패터닝된 층(131)을 가열하는 단계를 포함한다. 제1 패터닝된 층(131)의 가열 단계는, 용해도-변경제가 상기 제1 패터닝된 층(131) 내에 광산을 발생하도록 유발시키는 제1 미리 정해진 온도로 가열하는 단계를 포함할 수 있다. 상기 제1 패터닝된 층(131)의 가열 단계는 제2 미리 정해진 온도로 가열하는 단계를 포함할 수 있다. 제2 미리 정해진 온도에의 도달은, 제1 패터닝된 층이 특정의 레지스트 현상제에 의해 더 이상 용해될 수 없도록, 상기 제1 패터닝된 층 내의 가교제가 제1 미리 정해진 온도를 변경하도록 유발시킨다. 가교제의 사용은 광산이 상기 제1 패터닝된 층 자체의 용해도를 변경하는 것을 방지하도록 도울 수 있다.
상기 광산이 이런 패터닝 방법의 다양한 단계에서 생성될 수 있음을 인식해야 한다. 예를 들어, 광산 생성 및 광산 확산이 거의 동시적이도록, 확산 유발에 특정한 가열 시 광산이 생성될 수 있다. 선택적으로, 광산은 광 확산 동작에 앞서 생성될 수 있다. 예를 들어, 도 2의 직전의 또는 직후의 단계에서, 광산이 발생될 수 있다. 발생된 광산은 다시 가열될 때까지 포토레지스트에 머물 것이다.
위의 예에 있어서, 가교제는 광산 확산 시 활성화될 수 있다. 다른 실시예에 있어서, 가교제는 제2 포토레지스트 층(112)의 퇴적 전에 또는 확산 개시 전에 활성화될 수 있다. 가교제(cross-linker)를 사용하는 것은 선택적임을 인식해야 한다. 가교제의 사용은 상이한 용매 및 레지스트 계획을 사용함으로써 대체될 수 있다. 예를 들어, 제1 레지스트의 용해도에 참여하지 않는 상이한 현상제 종 사용의 선택사항으로 이런 선택이 강화된 레지스트를 제공할 수도 있기 때문에, 네거티브형 현상제 호환성 레지스트를 사용한다. 다른 예에 있어서, 포토레지스트는 알콜-기반일 수 있어서, 네거티브형 현상제 호환성 레지스트의 사용이 이어질 수 있다. 따라서 일 실시에서는 가교제가 사용되는 반면에, 다른 실시에서는 제2 패터닝된 층을 위해 상이한 용매 시스템을 사용함으로써 제1 패터닝된 층을 세정하기 위한 비-호환성 현상제가 사용될 수 있다.
따라서 다른 실시예는, 용해도-변경제가 제1 포토레지스트 층 내에 광산을 발생시키도록, 상기 제2 포토레지스트 층을 퇴적하기 전에 용해도-변경제를 활성화시킬 수 있다. 용해도-변경제의 활성화는 기판을 가열하는 단계를 포함할 수 있다. 상기 가열 단계는 전도성 가열, 대류 가열, 레이저 인가, 및 전자기 방사선 인가 등과 같은 다양한 기술을 통해 달성될 수 있다. 선택적으로, 용해도-변경제의 활성화는 포토레지스트가 특정의 현상제에 의해 더 이상 용해될 수 없도록, 상기 제1 포토레지스트 층을 경화시키는 단계도 포함할 수 있다. 이런 경화단계는 가교제를 활성화시키는 단계를 포함할 수 있다. 일부 실시예에 있어서, 용해도-변경제는 가교제 보다 더 높은 활성화 온도를 갖도록 선택될 수 있다. 이는 광산이 발생되어 제1 포토레지스트를 탈-보호할 기회를 갖기 전에, 열 활성화 단계가 포토레지스트를 경화시키는 가교제와 조합될 수 있음을 의미한다.
도 4b는 확산 부분의 확대된 세그먼트를 도시하고 있다. 알 수 있는 바와 같이, 광산은 제1 패터닝된 층(131)으로부터 제2 포토레지스트 층(112) 내로 외향하여 확산된다. 상기 광산은 분자량, 온도, 및 베이킹 시간에 기초하여 알려진 깊이 또는 거리를 확산한다. 광산은 주 포토레지스트의 양측 상에서 그리고 주 포토레지스트(임의의 과잉 상부층을 탈-보호하는) 위에서 제2 포토레지스트 층의 일반적으로 직사각형-형상의 부분을 탈-보호한다.
이어서, 도 5에 도시된 바와 같이, 제2 포토레지스트 층(112)의 제1 부분이 제거되도록 제2 포토레지스트 층(112)이 현상되어, 제2 및 포토레지스트 층 및 제1 포토레지스트 층으로 하여금 함께 제2 패터닝된 층(132)을 규정하게 한다. 상기 제2 패터닝된 층(132)은 예컨대, 에칭 동작을 통해서 패턴-전사층(110) 내로 전사되어, 도 6에 도시된 바와 같이 이제 패턴-전사층(110)으로 하여금 제3 패터닝된 층(133)을 규정하게 한다. 제1 포토레지스트 층(111) 및 제2 포토레지스트 층(112)의 임의의 나머지 부분이 제거될 수 있어서, 도 7에 도시된 바와 같이 패턴-전사층(100)으로 하여금 제3 패터닝된 층(133)을 규정하게 한다.
이런 공정 흐름의 하나의 이점은 리소그래피 촬상 동작에 의해 초기에 생성된 라인에 비해 매우 협소한 트렌치를 제조한다는 점이다. 이들 트렌치는 이하에 기재되는 바와 같이 슬롯 콘택의 제조와 같은 다양한 용도로 사용될 수 있다. 전술한 흐름에서, 이들 협소한 트렌치에 대한 임계 치수는 광산의 확산 길이에 의해 규정되며, 이런 확산 길이는 특정의 나노미터 수치로 또는 심지어 나노미터의 10분의 1 까지 정확하게 제어될 수 있다. 이 시점에서, 임의의 후속 적용 흐름 또는 이중 가공 흐름이 실시될 수 있다.
하나의 유익한 계속적인 적용은 슬롯 콘택을 제조하는 것이다. 슬롯 콘택을 생성하기 위해 이들 협소한 트렌치를 사용하는 단계는 추가적인 패터닝 및 마스킹 단계를 포함한다. 이제 도 8을 참조하면, 제3 패터닝된 층(133)에 규정된 개구가 충전되도록, 평탄화 층(142)이 상기 제3 패터닝된 층 상에 퇴적될 수 있다. 상기 평탄화 층(142)은, 규정된 트렌치를 충전할 뿐만 아니라 상기 제3 패터닝된 층(133)의 구조물을 덮는 오버코트(overcoat)로서 퇴적될 수 있다. 평탄화 층은 반사-방지 코팅을 포함할 수 있으며, 또한 유기 물질이나 무기 물질을 포함할 수 있다. 일부 실시예에 있어서, 평탄화 층(142)을 위한 물질의 선택은 평탄화 층(142)에 대해 상기 제3 패터닝된 층(133)의 에칭 선택도에 기초할 수 있다. 특정의 예로서, 상기 제3 패터닝된 층(133)이 실리콘-함유 반사-방지 코팅이라면, 상기 평탄화 층(142)이 유기 반사-방지 코팅으로서 선택될 수 있다.
도 9에 있어서, 제3 포토레지스트 층(113)이 평탄화 층(142) 상에 퇴적된다. 그 후, 상기 제3 포토레지스트 층(113)은, 도 10에 도시된 바와 같이, 잠상 패턴(117)이 제3 포토레지스트 층(113) 내에 생성되도록 전자기 방사선의 패턴에 노출될 수 있다. 그 후, 상기 잠상 또는 노출된 패턴이 현상되어, 도 11에 도시된 바와 같이, 제3 포토레지스트 층은 지형적 또는 물리적 패턴을 규정하는 제4 패터닝된 층(134)이 된다.
그 후, 상기 제4 패터닝된 층(134)에 의해 노출된 평탄화 층(142)의 일부를 에칭하는 제2 에칭 공정이 실시될 수 있다. 이런 에칭 공정은, 도 12a에 도시된 바와 같이 상기 제3 패터닝된 층(133)의 노출된 부분을 실질적으로 에칭하지 않고, 상기 평탄화 층(142)의 노출된 부분을 에칭하는 화학물을 사용한다. 도 12b는 에칭되었던, 도 12a로부터의 주어진 기판 세그먼트의 사시도를 도시하고 있다. 이제 하부층으로 전사될 수 있는, 협소한 슬롯-형상의 개구가 규정되었음을 인식해야 한다. 이런 전사는 별도의 에칭 동작으로서, 또는 연속적인 에칭 동작으로서 달성될 수 있으며, 임의의 대응하는 화학물 및 에칭 매개변수가 조정된다. 이런 에칭 동작의 완료에 따라, 슬롯 콘택 개구가 도 13에 도시된 바와 같이 타겟층(107) 내로 전사된다. 그 후, 에칭 공정에서 소비되지 않은 상기 타겟층(107) 위의 임의의 나머지 층이 제거되어, 한 쌍의 규정된 슬롯 콘택 개구(155)를 도시한 도 14a 및 14b에 도시된 바와 같이 패터닝된 타겟층을 남긴다.
도 15-20은 전술한 공정의 단축된 버전을 도시한 개략적인 평면도이다. 도 15는 편의 상 평탄화 층(142)이 상기 제3 패터닝된 층(133)을 완전히 도포하는 것으로 도시되지 않은 점을 제외하고는, 도 8에 대응한다. 달리 말하면, 도 15는 제2 물질에 의해 충전되는 매우 협소한 트렌치를 규정하는 제1 물질을 도시하고 있다. 이들 트렌치는 도 1-7의 묘사에 기재된 이하의 공정 흐름에 의한 바와 같이 생성될 수 있다.
도 16은 하부층을 도포하는 기판의 상부에 제3 포토레지스트 층(113)이 퇴적된 도 9에 대응한다. 임의의 후-적용 베이킹 단계를 완료한 후, 리소그래피 툴을 사용하는 바와 같은 방사선의 패턴에 제3 포토레지스트 층(113)이 노출된다. 이런 노출은 도 17에 도시된 바와 같이 변경된 용해도를 갖는 기판 상의 특별한 위치에 실시된 형상일 수 있는 잠상 패턴(117)을 생성한다.
그 후, 노출 패턴에 의해 규정된 제3 포토레지스트 층(113)의 일부를 용해하고 그리고 제거하는 포토레지스트 현상 단계가 실시된다. 도 18은 이런 현상제 단계의 결과를 도시하고 있다. 이런 현상 단계는 하부 라인의 특정한 세그먼트를 나타내고 있음을 인식해야 한다. 달리 말하면, 하부 라인의 대부분은 평면도로부터 투시된 바와 같이 노출되는 선택된 부분을 제외하고는 상기 제3 포토레지스트 층(113)에 의해 마스킹된다. 이제 노출된 이들 라인 세그먼트에 의해, 노출된 라인 세그먼트를 발굴하거나 또는 에칭하는 에칭 공정이 실시될 수 있다. 이는 평탄화 층(142)을 통해 타겟층(107) 내로 에칭하는 단계를 포함할 수 있다. 도 19는 마스크층 스택을 통해 볼 수 있는 기판(105)의 세그먼트를 구비한 두 층을 통한 에칭의 결과를 도시하고 있다. 그 후, 도 20에 도시된 바와 같이, 에칭 공정 중 소비되지 않은 임의의 나머지 층이 제거되어, 타겟층(107)에 의해 규정된 슬롯 콘택 개구(155)를 형성하게 된다.
전술한 기재에 있어서, 가공 시스템의 특별한 기하학적 형상 및 여기에 사용된 다양한 부품 및 공정의 기재와 같은 특정한 세부사항이 설명되었다. 그러나 여기에 사용된 기술은 이들 특정의 세부사항으로부터 벗어난 다른 실시예에 실시될 수 있고, 또한 이런 세부사항은 설명을 위한 것이고 제한하는 것이 아님을 인식해야 한다. 여기에 기재된 실시예는 첨부한 도면을 참조하여 기재되었다. 마찬가지로, 설명을 위해, 완전한 이해를 제공하도록 특정한 수치, 물질, 및 구성이 설명되었다. 그럼에도 불구하고, 실시예는 이런 특정의 세부사항이 없어도 실시될 수 있다. 실질적으로 동일한 구성을 갖는 부품은 유사한 도면부호로 표시되었으며, 따라서 임의의 중복 설명이 생략될 수 있다.
다양한 실시예의 이해를 돕기 위해, 다양한 기술이 여러 개의 별개의 동작으로서 기재되었다. 기재 순서는 이들 동작이 필연적으로 순서에 의존하는 것을 암시하는 것으로 해석되어서는 안된다. 실제로, 이들 동작이 설명의 순서대로 수행될 필요는 없다. 기재된 동작은 기재된 실시예와는 상이한 순서로 수행될 수 있다. 다양한 추가적인 동작이 수행될 수 있으며 및/또는 기재된 동작이 추가적인 실시예에서 생략될 수 있다.
여기에 사용되는 바와 같은 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리된 물체를 지칭한다. 상기 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조물을 포함할 수 있으며, 또한 예를 들어 박막과 같은 베이스 기판 구조물 상의 또는 이 위에 있는 반도체 웨이퍼, 레티클, 또는 층일 수 있다. 따라서 기판은 임의의 특정한 베이스 구조물, 패터닝된 또는 패터닝되지 않은 하부의 층 또는 상부의 층에 제한되지 않지만, 그러나 오히려 임의의 이런 층 또는 베이스 구조물 및 층 및/또는 베이스 구조물의 임의의 조합을 포함하는 것으로 고려된다. 기재는 특별한 타입의 기판을 기준으로 할 수 있지만, 그러나 이는 단지 도시적인 목적일 뿐이다.
본 기술분야의 숙련자라면, 발명의 동일한 목적을 여전히 달성하면서, 위에 설명된 기술의 동작에 이루어진 많은 변형이 있을 수 있음을 이해할 것이다. 이런 변형은 본 발명의 범주 내에 포함되는 것으로 의도된다. 따라서 본 발명의 실시예의 전술한 기재는 제한하는 것으로는 의도되지 않는다. 오히려, 본 발명의 실시예에 대한 임의의 제한이 이하의 청구범위에 제시된다.

Claims (20)

  1. 기판을 패터닝하기 위한 방법에 있어서,
    타겟층, 상기 타겟층 상에 위치된 패턴-전사층, 및 상기 패턴-전사층 상에 위치된 제1 포토레지스트 층을 갖는 기판을 수용하는 단계로서, 상기 제1 포토레지스트 층은 지형적(topographic) 패턴을 규정하는 제1 패터닝된 층이며 용해도-변경제를 포함하는 것인, 상기 수용하는 단계;
    상기 제1 패터닝된 층 상에 제2 포토레지스트 층을 퇴적하는 단계;
    상기 제2 포토레지스트 층의 제1 부분이 그 용해도를 변경하도록, 상기 제1 패터닝된 층에서 발생된 광산(photo acid)으로 하여금 상기 제2 포토레지스트 층의 제1 부분 내로 확산되도록 유발시키는 단계;
    상기 제2 포토레지스트 층의 상기 제1 부분이 제거되도록 상기 제2 포토레지스트 층을 현상하여, 상기 제2 포토레지스트 층 및 상기 제1 포토레지스트 층으로 하여금 함께 제2 패터닝된 층을 규정하게 하는 단계;
    상기 제2 패터닝된 층을 제1 에칭 공정을 통해 상기 패턴-전사층 내로 전사하고, 상기 제1 포토레지스트 층 및 상기 제2 포토레지스트 층을 제거하여 상기 패턴-전사층으로 하여금 제3 패터닝된 층을 규정하게 하는 단계;
    상기 제3 패터닝된 층에 규정된 개구가 충전되도록, 상기 제3 패터닝된 층 상에 평탄화 층을 퇴적하는 단계;
    상기 평탄화 층 상에 제3 포토레지스트 층을 퇴적하고 노출된 패턴을 현상하여, 상기 제3 포토레지스트 층이 지형적 패턴을 규정하는 제4 패터닝된 층을 형성하게 하는 단계
    상기 제4 패터닝된 층에 의해 노출된 상기 평탄화 층의 일부를 에칭하는 제2 에칭 공정을 실시하는 단계로서, 상기 제2 에칭 공정은 상기 패턴-전사층의 노출된 부분을 에칭하지 않고 상기 평탄화 층의 노출된 부분을 에칭하는 화학물(chemistry)을 사용하는 것인, 상기 제2 에칭 공정을 실시하는 단계;
    상기 제3 패터닝된 층의 노출된 부분을 상기 타겟층 내로 전사하는 단계; 및
    상기 전사하는 단계 후에, 상기 타겟층 상에 남아 있는 층을 제거하는 단계
    를 포함하는, 기판을 패터닝하기 위한 방법.
  2. 삭제
  3. 제1항에 있어서,
    상기 평탄화 층은 반사-방지 코팅을 포함하는 것인, 기판을 패터닝하기 위한 방법.
  4. 제1항에 있어서,
    상기 평탄화 층은 유기 물질을 포함하는 것인, 기판을 패터닝하기 위한 방법.
  5. 삭제
  6. 삭제
  7. 제1항에 있어서,
    상기 화학물은 실리콘-함유 물질을 에칭하지 않고 유기 물질을 에칭하도록 선택되는 것인, 기판을 패터닝하기 위한 방법.
  8. 삭제
  9. 제1항에 있어서,
    상기 제1 패터닝된 층에서 발생된 광산으로 하여금 상기 제2 포토레지스트 층의 제1 부분 내로 확산되도록 유발시키는 단계는, 상기 광산으로 하여금 상기 제2 포토레지스트 층 내로 미리 정해진 거리만큼 확산되게 하는 것인, 기판을 패터닝하기 위한 방법.
  10. 제1항에 있어서,
    상기 제1 패터닝된 층에서 발생된 광산으로 하여금 상기 제2 포토레지스트 층의 제1 부분 내로 확산되도록 유발시키는 단계는, 상기 제1 패터닝된 층을 가열하는 단계를 포함하는 것인, 기판을 패터닝하기 위한 방법.
  11. 제10항에 있어서,
    상기 제1 패터닝된 층을 가열하는 단계는, 상기 용해도-변경제로 하여금 상기 제1 패터닝된 층 내에서 광산이 발생되도록 유발시키는 제1 미리 정해진 온도로 가열하는 단계를 포함하는 것인, 기판을 패터닝하기 위한 방법.
  12. 제10항에 있어서,
    상기 제1 패터닝된 층을 가열하는 단계는, 상기 제1 패터닝된 층이 특정의 레지스트 현상제에 의해 더 이상 용해될 수 없도록, 상기 제1 패터닝된 층 내의 가교제로 하여금 상기 제1 패터닝된 층을 변경하도록 유발시키는 제2 미리 정해진 온도로 가열하는 단계를 포함하는 것인, 기판을 패터닝하기 위한 방법.
  13. 제1항에 있어서,
    상기 용해도-변경제가 상기 제1 패터닝된 층 내에서 광산을 발생하도록, 상기 제2 포토레지스트 층을 퇴적하기 전에, 상기 용해도-변경제를 활성화하는 단계를 더 포함하는 것인, 기판을 패터닝하기 위한 방법.
  14. 제13항에 있어서,
    상기 용해도 변경제를 활성화하는 단계는 상기 기판을 가열하는 단계를 포함하는 것인, 기판을 패터닝하기 위한 방법.
  15. 제14항에 있어서,
    상기 기판을 가열하는 단계는, 전도성 가열, 대류 가열, 레이저 인가(application), 및 전자기 방사선 인가로 구성된 그룹으로부터 선택된 가열 공정을 사용하는 단계를 포함하는 것인, 기판을 패터닝하기 위한 방법.
  16. 제13항에 있어서,
    상기 용해도-변경제를 활성화하는 단계는, 상기 제1 패터닝된 층이 특정의 현상제에 의해 더 이상 용해될 수 없도록, 상기 제1 패터닝된 층을 경화시키는 단계를 포함하는 것인, 기판을 패터닝하기 위한 방법.
  17. 제1항에 있어서,
    상기 용해도-변경제는 광산 발생제인 것인, 기판을 패터닝하기 위한 방법.
  18. 제17항에 있어서,
    상기 광산 발생제는, 상기 용해도 변경제를 임계 온도보다 높게 가열하는 것이 광산이 발생되도록 유발시킨다는 점에서 온도 활성형인 것인, 기판을 패터닝하기 위한 방법.
  19. 제1항에 있어서,
    상기 패턴-전사층은 실리콘, 실리콘 산화질화물(SiON), 유기 물질, 비-유기 물질, 및 비정질 탄소로 구성된 그룹으로부터 선택된 물질을 포함하는 것인, 기판을 패터닝하기 위한 방법.
  20. 제19항에 있어서,
    상기 패턴-전사층은 반사-방지 물질을 포함하는 것인, 기판을 패터닝하기 위한 방법.
KR1020167031529A 2014-04-10 2015-04-08 기판 상의 콘택 개구 패터닝 방법 KR101800996B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201461977864P 2014-04-10 2014-04-10
US61/977,864 2014-04-10
PCT/US2015/024921 WO2015157416A1 (en) 2014-04-10 2015-04-08 Method for patterning contact openings on a substrate

Publications (2)

Publication Number Publication Date
KR20160138302A KR20160138302A (ko) 2016-12-02
KR101800996B1 true KR101800996B1 (ko) 2017-12-20

Family

ID=54265671

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020167031529A KR101800996B1 (ko) 2014-04-10 2015-04-08 기판 상의 콘택 개구 패터닝 방법

Country Status (5)

Country Link
US (1) US9406526B2 (ko)
JP (1) JP6464413B2 (ko)
KR (1) KR101800996B1 (ko)
TW (1) TWI585822B (ko)
WO (1) WO2015157416A1 (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2019169122A1 (en) * 2018-03-02 2019-09-06 Tokyo Electron Limited Method to transfer patterns to a layer
US11295961B2 (en) 2019-11-27 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US11682559B2 (en) * 2020-06-11 2023-06-20 Tokyo Electron Limited Method to form narrow slot contacts
US11747733B2 (en) * 2021-01-08 2023-09-05 Tokyo Electron Limited Freeze-less methods for self-aligned double patterning
CN117546271A (zh) * 2021-06-02 2024-02-09 东京毅力科创株式会社 经由使用双层系统的增强无冻结反间隔物形成去除材料覆盖层的方法
TW202318573A (zh) * 2021-08-25 2023-05-01 美商杰米納帝歐股份有限公司 以校正性化學增強的場拼接技術
CN117916853A (zh) * 2021-08-25 2024-04-19 杰米纳蒂奥公司 多线蚀刻基底的生成
KR20240055749A (ko) * 2021-08-25 2024-04-29 제미나티오, 인코포레이티드 국소 화학물질 노출을 위한 최적화
CN117916855A (zh) * 2021-08-25 2024-04-19 杰米纳蒂奥公司 用于形成高密度接触的抗蚀剂内工艺
WO2023028243A1 (en) * 2021-08-25 2023-03-02 Geminatio, Inc. Narrow line cut masking process
US20240085795A1 (en) * 2022-09-13 2024-03-14 Tokyo Electron Limited Patterning a semiconductor workpiece

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100130016A1 (en) 2008-11-24 2010-05-27 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits
US8530147B2 (en) 2007-11-21 2013-09-10 Macronix International Co., Ltd. Patterning process

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20070004234A (ko) 2005-07-04 2007-01-09 삼성전자주식회사 미세패턴의 형성방법 및 이를 이용한 구조물
US20080261384A1 (en) * 2007-04-18 2008-10-23 United Microelectronics Corp. Method of removing photoresist layer and method of fabricating semiconductor device using the same
US7851135B2 (en) * 2007-11-30 2010-12-14 Hynix Semiconductor Inc. Method of forming an etching mask pattern from developed negative and positive photoresist layers
KR101439394B1 (ko) 2008-05-02 2014-09-15 삼성전자주식회사 산 확산을 이용하는 더블 패터닝 공정에 의한 반도체소자의 미세 패턴 형성 방법
JP2014182187A (ja) * 2013-03-18 2014-09-29 Sony Corp レジスト組成物及び半導体装置の製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8530147B2 (en) 2007-11-21 2013-09-10 Macronix International Co., Ltd. Patterning process
US20100130016A1 (en) 2008-11-24 2010-05-27 Micron Technology, Inc. Methods of forming a masking pattern for integrated circuits

Also Published As

Publication number Publication date
JP2017513233A (ja) 2017-05-25
US9406526B2 (en) 2016-08-02
US20150294878A1 (en) 2015-10-15
WO2015157416A1 (en) 2015-10-15
TW201604932A (zh) 2016-02-01
KR20160138302A (ko) 2016-12-02
TWI585822B (zh) 2017-06-01
JP6464413B2 (ja) 2019-02-06

Similar Documents

Publication Publication Date Title
KR101800996B1 (ko) 기판 상의 콘택 개구 패터닝 방법
KR102462050B1 (ko) 기판 패터닝을 위한 마스크를 형성하는 방법
KR102310834B1 (ko) 그래프팅 중합체 물질의 사용으로 기판의 패턴화
TWI595556B (zh) 用於基板圖案化之遮罩的形成方法
JP5944484B2 (ja) リソグラフィ適用において感放射線材料のラインを幅狭化する方法
JP5515459B2 (ja) 半導体デバイスの製造方法
KR20160083080A (ko) 화학적 폴리싱 및 평탄화를 위한 방법
JP2023539512A (ja) 半導体膜厚を制御する方法
JP3874989B2 (ja) パターンの形成方法
TW202001997A (zh) 半導體結構的形成方法
JP2009139695A (ja) 半導体装置の製造方法
US8138059B2 (en) Semiconductor device manufacturing method
US11682559B2 (en) Method to form narrow slot contacts
US20220388232A1 (en) Method for removing material overburden via enhanced freeze-less anti-spacer formation using a bilayer system
KR100472733B1 (ko) 반도체장치의포토레지스트패턴형성방법
KR20230125841A (ko) 자가-정렬 이중 패터닝을 위한 무동결 방법
WO2023154365A1 (en) Selective deprotection via dye diffusion
KR20110108712A (ko) 반도체 장치의 콘택 홀 제조 방법
KR20050110075A (ko) 반도체 소자의 미세패턴 형성방법
KR20090122649A (ko) 반도체 소자 패턴의 해상도 향상 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
GRNT Written decision to grant