CN103180932A - 用于控制光刻胶线宽粗糙度的方法及设备 - Google Patents

用于控制光刻胶线宽粗糙度的方法及设备 Download PDF

Info

Publication number
CN103180932A
CN103180932A CN2011800515036A CN201180051503A CN103180932A CN 103180932 A CN103180932 A CN 103180932A CN 2011800515036 A CN2011800515036 A CN 2011800515036A CN 201180051503 A CN201180051503 A CN 201180051503A CN 103180932 A CN103180932 A CN 103180932A
Authority
CN
China
Prior art keywords
photoresist layer
substrate
treatment chamber
line width
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011800515036A
Other languages
English (en)
Inventor
B·吴
A·库玛
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN103180932A publication Critical patent/CN103180932A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Exposure Of Semiconductors, Excluding Electron Or Ion Beam Exposure (AREA)

Abstract

本发明提供用于控制及改型光刻胶层的线宽粗糙度(LWR)的方法和设备。在一个实施例中,一种用于控制配置于基板上的光刻胶层的线宽粗糙度的设备包括:腔室主体,所述腔室主体具有界定内部处理区域的顶壁、侧壁和底壁;微波功率发生器,所述微波功率发生器通过波导件耦接至所述腔室主体;以及一个或多个线圈或磁体,所述线圈或磁体配置在所述腔室主体的外周边周围且邻接所述波导件;以及气体源,所述气体源通过气体递送通路耦接至所述波导件。

Description

用于控制光刻胶线宽粗糙度的方法及设备
技术领域
本发明大体上关于用于控制光刻胶线宽粗糙度的设备与方法,更具体地说,本发明关于用于半导体处理技术中控制光刻胶线宽粗糙度的方法与设备。
背景技术
集成电路已发展至复杂的器件,所述复杂的器件可在单一芯片上包括数百万个部件(例如晶体管、电容器和电阻器)。芯片设计的发展持续需要更快的电路和更大的电路密度。对于更大电路密度的需求使得对集成电路部件的尺寸的减小成为必要。
随着集成电路部件的尺寸被减小(例如减小至次微米尺寸),需要更多元件被放置在半导体集成电路上的给定区域中。因此,为了精确且准确地将甚至更小的特征结构转移至基板上且不造成损伤,光刻工艺已变得愈来愈具有挑战性。为了将精确且准确的特征结构转移至基板上,期望的高分辨率光刻工艺需要具有适合的光源,所述光源可提供期望波长范围的辐射以供曝光之用。此外,光刻工艺需要以最小的光刻胶线宽粗糙度(LWR)将特征结构转移到光刻胶层上。毕竟,需要无缺陷的光掩模以将期望的特征结构转移到光刻胶层上。近来,远紫外光(EUV)辐射源已被用于提供短的曝光波长,以便在基板上提供进一步减小的最小可印刷尺寸。然而,在这么小的尺寸下,光刻胶层的边缘的粗糙度已变得愈来愈难以控制。
图1描绘基板100的示例性俯视剖面视图,所述基板100具有图案化的光刻胶层104,所述光刻胶层104配置在待蚀刻的目标材料102上。在图案化的光刻胶层104之间界定开口106,从而易于曝光下伏的蚀刻用的目标材料102从而将特征结构转移至目标材料102上。然而,光刻曝光工艺的不准确控制或低分辨率可能造成不良的光刻胶层104的临界尺寸,从而导致让人无法接受的线宽粗糙度(LWR)108。光刻胶层104的大线宽粗糙度(LWR)108可能导致不准确的特征结构转移到目标材料102,由此最终导致器件故障及产量损失。
因此,需要一种方法及设备以控制并尽量最小化线宽粗糙度(LWR),以便获得具有期望临界尺寸的图案化光刻胶层。
发明内容
本发明提供用于控制及改型光刻胶层的线宽粗糙度(LWR)的方法和设备。在一个实施例中,一种用于控制配置于基板上的光刻胶层的线宽粗糙度的设备包括:腔室主体,所述腔室主体具有界定内部处理区域的顶壁、侧壁和底壁;微波功率发生器,所述微波功率发生器通过波导件耦接至所述腔室主体;以及一个或多个线圈或磁体,所述线圈或磁体配置在所述腔室主体的外周边周围且邻接所述波导件;以及气体源,所述气体源通过气体递送通路耦接至所述波导件。
在另一个实施例中,一种用于控制配置于基板上的光刻胶层的线宽粗糙度的方法包括以下步骤:在处理腔室中产生电场,所述处理腔室具有基板,所述基板配置于所述处理腔室中,其中所述基板具有图案化的光刻胶层,所述图案化的光刻胶层配置在所述基板上;将气体混合物供应至配置在所述基板上的图案化的光刻胶层;在所述处理腔室中产生磁场以与所述电场相互作用,以在所述气体混合物中形成等离子体;以及以形成在所述处理腔室中的等离子体来修整所述图案化的光刻胶层的边缘轮廓。
在还有一个实施例中,一种用于控制配置于基板上的光刻胶层的线宽粗糙度的方法包括以下步骤:将气体混合物供应至处理腔室中,所述处理腔室具有基板,所述基板配置于所述处理腔室中,其中所述基板具有图案化的光刻胶层,所述图案化的光刻胶层配置在所述基板上;施加微波功率至所述处理腔室,以在所述处理腔室中产生电场;施加DC或AC功率至一个或多个线圈或磁体以产生磁场,所述线圈或磁体配置在所述处理腔室的外周边周围;通过磁场与电场的相互作用,在气体混合物中形成等离子体;以及使用形成在所述处理腔室中的等离子体来修整所述图案化的光刻胶层的边缘轮廓。
附图说明
通过参考附图中所说明的本发明的实施例,可以达到上述所记载的本发明的特征且可详细理解所述特征的方式对如上所简要概括的本发明作更具体的描述。
图1描绘本领域中传统上配置在基板上的图案化的光刻胶层的示例性结构的俯视图;
图2描绘根据本发明的一个实施例所用的电子回旋共振(ECR)等离子体反应器的示意性剖面视图;
图3描绘根据本发明一个实施例的电子轨道图;
图4描绘根据本发明一个实施例在基板上执行ECR等离子体工艺的工艺流程图;
图5描绘根据本发明一个实施例的邻近光刻胶层行进的电子轨道俯视图;以及
图6描绘根据本发明一个实施例的配置于基板上的光刻胶层的线宽粗糙度的分布。
为了便于理解,尽可能地使用相同标号来标明各附图中所共有的相同元件。预期一个实施例的元件与特征可有利地结合于其它实施例中而无需进一步叙述。
然而应注意,附图仅说明本发明的示例性实施例,且因此不应将所述附图视为限制本发明的范围,因为本发明可允许其它等效实施例。
具体实施方式
本发明的实施例包括用于控制配置在基板上的光刻胶层的线宽粗糙度(LWR)的方法和设备。在曝光/显影工艺之后通过在光刻胶层上执行电子回旋共振(ECR)等离子体工艺,可控制光刻胶层的线宽粗糙度(LWR)。执行电子回旋共振(ECR)等离子体工艺以提供纳米等级的化学与电子研磨(grinding)工艺,以使光刻胶层图案的边缘平滑,从而为光刻胶层的平滑图案边缘提供最小的图案边缘粗糙度以用于后续的蚀刻工艺。
图2描绘根据本发明的电子回旋共振(ECR)等离子体反应器200的一个实施例的示意性剖面图,所述反应器200适合用于执行电子回旋共振(ECR)等离子体工艺。一种这样的适合用于执行本发明的蚀刻反应器可购自美国加州Santa Clara的应用材料公司。预期在此也可应用其它适合的等离子体处理腔室,所述腔室包括购自其它制造商的腔室。
等离子体反应器200包括处理腔室252,所述处理腔室252具有腔室主体210。所述处理腔室252是高真空容器,且具有耦接至所述容器的真空泵228。处理腔室252的腔室主体210包括顶壁222、侧壁224和底壁226,所述壁在所述腔室主体中界定内部处理区域212。侧壁224的温度通过使用含液体的导管(图中未示出)来控制,所述导管位于侧壁224中及/或侧壁224周围。底壁226连接至电接地端230。
处理腔室252包括支撑底座214。所述支撑底座214延伸通过所述处理腔室252的底壁226进入处理区域212。支撑底座214可接收基板250以使所述基板250配置于所述支撑底座214上以供处理。支撑底座214通过匹配网络216耦接至射频(RF)偏压功率源218而至电接地端232。偏压功率源218大体上能够产生RF信号,所述RF信号具有约50kHz至约60MHz的可调频率及约0至5000瓦的偏压功率。偏压功率源218可视情况为DC或脉冲式DC源。
微波功率发生器202通过波导件220将功率耦合至处理腔室252的处理区域212。可在微波功率发生器202与波导件220之间配置介电窗204。在一个实施例中,介电窗204可由石英玻璃、陶瓷材料或类似物所制造。
一个或多个线圈段或磁体208(图中显示为208A与208B)配置在处理腔室252的外周边周围。提供给(一个或多个)线圈段或磁体208的功率由DC功率源或低频AC功率源(图中未示出)控制。线圈段或磁体208大体上以对称图案间隔开,并且以交替磁极的方式排列(即,交替的北极“N”与南极“S”)。配置在处理腔室252周边周围的线圈段或磁体208将倾向将所产生的等离子体“推”向内部处理区域212中的圆形区域的中间。线圈段或磁体208产生位于垂直于电场方向上的磁场,其中微波被导入所述处理腔室252。线圈段或磁体208可包含永磁体、电磁体或其它类似装置,这些装置能够产生磁场并且塑形内部处理区域212中所产生的场。气体源206可耦接至波导件220以将处理气体递送到处理腔室252中。磁场使电子沿磁场线248盘旋行进(orbit),同时微波功率在电子盘旋行进时赋予电子能量。磁场与电场之间的相互作用使由气体源206供应的气体解离并且形成电子回旋共振(ECR)等离子体。ECR等离子体可包括磁场、自由电荷(诸如电子与离子)、自由基或中性原子,所述等离子体可旋转(spin)并且朝基板表面250移动。与来自气体混合物的解离的离子或电荷结合的旋转电子可以环绕模式(circular mode)沿磁场线248朝基板表面加速,以便研磨基板表面上形成的结构。首先参考图3,图3描绘磁场中的电子轨道302。因磁场可能使电子在内部处理区域212中沿磁力线304旋转及盘旋(whirl),所述电子可以环绕运动302朝基板表面移动。
往回参考图2,可沿处理腔室252的轴线纵向移动线圈段或磁体208,以调整内部处理区域212中产生的磁场的最大点的轴向位置。也可使用其它能够产生充分磁场强度以促进形成ECR等离子体的磁场源。
基板处理期间,腔室252内部内的气体压力可被控制在预定范围中。在一个实施例中,腔室252的内部处理区域212内的气体压力维持在约0.1至999毫托。可将基板250维持在介于约10摄氏度至约500摄氏度之间的温度。
控制器240包括中央处理单元(CPU)244、存储器242以及支持电路246,所述控制器240耦接至反应器202的各部件以便于控制本发明的工艺。存储器242可为任何计算机可读介质,诸如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或任何其它形式的在反应器202或CPU244本地端或远程的数字存储器。支持电路246耦接至CPU244以用传统方式支持CPU244。这些电路包括高速缓冲存储器、功率源、时钟电路、输入/输出电路及次系统与类似物。当存储在存储器242中的软件例程或一系列程序指令由CPU244执行时会使反应器200执行本发明的等离子体工艺。
图2仅显示可用于实行本发明的各类等离子体反应器的一个示例性配置。例如,可使用不同的耦合机制将不同种类的微波功率、磁功率和偏压功率耦合到等离子体腔室中。在一些应用中,可在与基板所处的腔室不同的腔室(例如远程等离子体源)中产生不同种类的等离子体,而接着使用本领域中已知的技术使所述等离子体被引导进入所述腔室。
图4示出根据本发明一个实施例的执行光刻胶线宽粗糙度(LWR)控制工艺400的一个实施例的流程图。工艺400可存储在存储器242中作为指令,所述指令由控制器240执行以使在ECR等离子体处理腔室中执行工艺400,所述ECR等离子体处理腔室诸如图2中所绘的ECR等离子体反应器200或者其它适合的反应器。
工艺400在方块402以提供基板(诸如描绘于图2中的基板250)至处理腔室252以供处理而开始。基板250可具有待蚀刻的目标材料512,所述目标材料512配置于所述基板250上,如图5中所示。在一个实施例中,使用光刻胶线宽粗糙度(LWR)控制工艺400的待蚀刻目标材料512可以是介电层、金属层、陶瓷材料或其它适合的材料。在一个实施例中,待蚀刻的目标材料512可以是形成为半导体制造中所用的栅极结构或接触结构或层间介电结构(ILD)的介电材料。适合的介电材料的示例包括SiO2、SiON、SiN、SiC、SiOC、SiOCN、a-C或类似物。在另一个实施例中,待蚀刻的目标材料512可以是形成为金属间介电结构(IMD)或其它适合结构的金属材料。适合的金属层的示例包括Cu、Al、W、Ni、Cr或类似物。
在方块404,可以在基板250上执行光刻胶线宽粗糙度(LWR)控制工艺400以研磨、改型及修整光刻胶层514的边缘516,如图5中所示。通过在ECR处理腔室中在配置于基板250上的光刻胶层514上实施ECR等离子体工艺而执行光刻胶线宽粗糙度(LWR)控制工艺400。如前文所讨论地,在ECR等离子体工艺中激发的电子以环绕运动方式移动并加速。如图5中所描绘地,电子的环绕运动504可以平滑地研磨、碰撞及抛光掉(polish away)光刻胶层514的不均匀边缘516。可连续地执行所述工艺,直到达到光刻胶层514的期望程度的粗糙度(例如,笔直度,如虚线510所示)为止。通过良好地控制电子动量,光刻胶层514的不均匀表面以及来自边缘516的突出可以逐渐地被平坦化,从而有效地将光刻胶线宽粗糙度(LWR)控制在期望的最小范围内。电子动量、离子密度、离子质量或电荷浓度可由磁场与电场间的相互作用所产生的功率以及所供应的气体控制。在一个实施例中,通过调节供应以产生微波场与磁场的功率,可获得不同的电子动量或迁移率。
在方块406,在ECR等离子体工艺期间,可调节、研磨、改型、控制光刻胶层514的线宽粗糙度(LWR)。处理期间,可控制数个工艺参数以将光刻胶层514的线宽粗糙度维持在期望范围。在一个实施例中,可将约50瓦至约2000瓦间的微波功率供应至处理腔室。在处理腔室中产生的磁场可以被控制在约500G至约1000G之间。可使用介于约100瓦至约2000瓦之间的DC及/或AC功率以在处理腔室中产生磁场。可以将处理腔室的压力控制在约0.5毫托至约500毫托之间。可将处理气体供应至处理腔室中,以协助改型、修整及控制光刻胶层514的边缘粗糙度。因为为光刻胶层514所选择的材料经常是有机材料,所以可选择含氧气体作为被供应至处理腔室中的处理气体而有助于研磨及改型光刻胶层514的粗糙度和轮廓。适合的含氧气体的示例包括O2、N2O、NO2、O3、H2O、CO、CO2及类似物。也可同时或单独供应其它种类的处理气体进入处理腔室,以有助于改型光刻胶层514的粗糙度。适合的处理气体的示例包括N2、NH3、Cl2或惰性气体(诸如Ar或He)。可以约10sccm至约500sccm之间(例如,约100sccm至约200sccm之间)的流速将处理气体供应至处理腔室中。可在约30秒至约200秒之间执行所述工艺。在一个特别的实施例中,供应O2气体进入处理腔室作为处理气体,以与光刻胶层514反应,以便修整及改型配置在基板250上的光刻胶层514的线宽粗糙度(LWR)。
如前文所讨论地,在一个实施例中,通过调节供应以产生微波场与磁场的功率,可获得不同的电子动量或迁移率,从而提供不同的碰撞能量以改型或修整光刻胶层的边缘粗糙度。在期望有更高电子运动动量的实施例中,可供应更高的功率以产生微波场与磁场,从而提供更高的碰撞能量以修整和改型光刻胶层的粗糙度。相比之下,在期望有更低电子运动动量的实施例中,可供应更低的功率以产生微波场与磁场,从而提供更低的碰撞能量以正如所需地温和地平滑化及抛光光刻胶层的粗糙度而不蚀刻下伏层。
可持续地执行光刻胶线宽粗糙度(LWR)控制工艺400,直到达到期望的光刻胶层514的最小粗糙度为止。在一个实施例中,光刻胶层514的线宽粗糙度513可以被控制在低于约3.0nm的范围中,诸如介于约1.0nm与约1.5nm之间。可在到达终点发信号指示达到所期望的光刻胶层514的粗糙度之后,终止光刻胶线宽粗糙度(LWR)控制工艺400。或者,可通过预设时间模式终止光刻胶线宽粗糙度(LWR)控制工艺400。在一个实施例中,可在约100秒至约500秒之间执行光刻胶线宽粗糙度(LWR)控制工艺400。
图6描绘了已在上面执行光刻胶线宽粗糙度(LWR)控制工艺400的光刻胶层514的剖面视图的一个示例性实施例。在光刻胶线宽粗糙度(LWR)控制工艺400之后,获得平滑的边缘表面。光刻胶层514的粗糙度以一种方式被平滑化及被修整,所述方式将光刻胶层514的边缘粗糙度最小化并且使光刻胶层514的边缘形态平滑。在光刻胶层514中形成的平滑边缘表面在图案化的光刻胶层514中界定锐利且界定良好的开口604,以曝光下伏的目标材料512以供蚀刻,从而蚀刻精确且笔直的开口宽度606以待形成为掩模层。在一个实施例中,开口604的宽度606可被控制在约15nm至约35nm之间。
在一个实施例中,可通过一蚀刻工艺蚀刻下伏的目标材料512,所述蚀刻工艺在与用于执行线宽粗糙度(LWR)控制工艺相同的腔室(诸如,描绘于图2中的腔室200)中执行。在另一实施例中,可通过一蚀刻工艺蚀刻下伏的目标材料512,所述蚀刻工艺在集成在群集系统中的任何其它不同的适合的蚀刻腔室中执行,其中线宽粗糙度(LWR)处理腔室可并至所述群集系统中。在还有一个实施例中,可通过一蚀刻工艺蚀刻下伏的目标材料512,所述蚀刻工艺在任何其它不同的适合的蚀刻腔室中执行,所述蚀刻腔室包括独立(stand-alone)的腔室,所述独立的腔室与线宽粗糙度(LWR)处理腔室分开或与可将线宽粗糙度(LWR)处理腔室并入的群集系统分开。
在一个实施例中,用于执行线宽粗糙度(LWR)工艺的气体混合物配置成不同于用于蚀刻下伏目标材料512的气体混合物。在一个实施例中,用于执行线宽粗糙度(LWR)工艺的气体混合物包括含氧层(诸如O2),而用于蚀刻下伏目标材料512的气体混合物包括含卤素气体(诸如氟碳气体、含氯气体、含溴气体、含氟气体、及类似物)。
由此,本发明提供用于控制与改型光刻胶层的线宽粗糙度(LWR)的方法与设备。所述方法与设备可以有利地在EUV曝光工艺之后控制、改型和修整配置在基板上的光刻胶层的轮廓、线宽粗糙度和尺寸,从而提供准确的对光刻胶层中开口的临界尺寸的控制,因此后续的蚀刻工艺可拥有针对下伏层(所述下伏层通过开口而受到蚀刻)的准确的转移临界尺寸。
虽然前文所述的内容针对本发明的实施例,但可不背离本发明基本范围而设计本发明的其它与进一步的实施例,本发明的范围由下文中的权利要求书所决定。

Claims (15)

1.一种用于控制配置于基板上的光刻胶层的线宽粗糙度的设备,包括:
腔室主体,所述腔室主体具有界定内部处理区域的顶壁、侧壁和底壁;
微波功率发生器,所述微波功率发生器通过波导件耦接至所述腔室主体;以及
一个或多个线圈或磁体,所述线圈或磁体配置在所述腔室主体的外周边周围且邻接所述波导件;以及
气体源,所述气体源通过气体递送通路耦接至所述波导件。
2.如权利要求1所述的设备,其特征在于,所述设备进一步包含:
基板支撑组件,所述基板支撑组件配置在所述腔室主体中延伸通过所述腔室主体的所述底壁。
3.如权利要求2所述的设备,其特征在于,所述设备进一步包含:
RF偏压功率,所述RF偏压功率耦接至所述基板支撑组件。
4.如权利要求1所述的设备,其特征在于,所述设备进一步包含:
介电窗,所述介电窗配置在所述微波功率发生器与所述波导件之间。
5.如权利要求1所述的设备,其特征在于,所述线圈或磁体是永磁体或电磁体。
6.如权利要求1所述的设备,其其特征在于,所述处理腔室耦接接地端。
7.如权利要求3所述的设备,其特征在于,耦接至所述基板支撑组件的所述RF偏压功率耦接接地端。
8.一种用于控制配置于基板上的光刻胶层的线宽粗糙度的方法,包括以下步骤:
在处理腔室中产生电场,所述处理腔室具有基板,所述基板配置于所述处理腔室中,其中所述基板具有图案化的光刻胶层,所述图案化的光刻胶层配置在所述基板上;
将气体混合物供应至配置在所述基板上的所述图案化的光刻胶层;
在所述处理腔室中产生磁场以与所述电场相互作用,从而在所述气体混合物中形成等离子体;以及
以形成在所述处理腔室中的所述等离子体修整所述图案化的光刻胶层的边缘轮廓。
9.如权利要求8所述的方法,其特征在于,产生所述电场进一步包含以下步骤:
施加微波功率至所述处理腔室以产生所述电场。
10.如权利要求8所述的方法,其特征在于,产生所述磁场进一步包含以下步骤:
施加DC或AC功率至一个或多个线圈或磁体以产生所述磁场,所述线圈或磁体配置在所述处理腔室的所述外周边周围。
11.如权利要求10所述的方法,其特征在于,所述线圈或磁体是永磁体或电磁体。
12.如权利要求8所述的方法,其特征在于,供应所述气体混合物进一步包含以下步骤:
将含氧气体供应至所述处理腔室中。
13.如权利要求12所述的方法,其特征在于,所述含氧气体是O2
14.如权利要求8所述的方法,其特征在于,修整所述图案化的光刻胶层的所述边缘轮廓进一步包含以下步骤:
调节在所述处理腔室中所产生的所述磁场与所述电场之间的所述相互作用。
15.如权利要求14所述的方法,其特征在于,调节所述相互作用进一步包含以下步骤:
控制所述光刻胶层的所述边缘轮廓,使所述边缘轮廓具有低于约3.0nm的线宽粗糙度。
CN2011800515036A 2010-10-27 2011-09-30 用于控制光刻胶线宽粗糙度的方法及设备 Pending CN103180932A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US40720910P 2010-10-27 2010-10-27
US61/407,209 2010-10-27
PCT/US2011/054288 WO2012057967A2 (en) 2010-10-27 2011-09-30 Methods and apparatus for controlling photoresist line width roughness

Publications (1)

Publication Number Publication Date
CN103180932A true CN103180932A (zh) 2013-06-26

Family

ID=45994639

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011800515036A Pending CN103180932A (zh) 2010-10-27 2011-09-30 用于控制光刻胶线宽粗糙度的方法及设备

Country Status (6)

Country Link
US (1) US9039910B2 (zh)
JP (1) JP2013542613A (zh)
KR (1) KR20130141550A (zh)
CN (1) CN103180932A (zh)
TW (1) TW201241873A (zh)
WO (1) WO2012057967A2 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104347392A (zh) * 2013-08-05 2015-02-11 中芯国际集成电路制造(上海)有限公司 图形化方法
CN105977200A (zh) * 2015-03-12 2016-09-28 台湾积体电路制造股份有限公司 具有小线间距和小端-端间隔的半导体器件结构的形成方法
CN106249554A (zh) * 2015-06-08 2016-12-21 应用材料公司 浸没场引导的曝光和曝光后烘烤工艺
CN108550520A (zh) * 2018-04-27 2018-09-18 武汉新芯集成电路制造有限公司 一种改善存储区浅槽线平整度的方法

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
CN103180932A (zh) * 2010-10-27 2013-06-26 应用材料公司 用于控制光刻胶线宽粗糙度的方法及设备
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
WO2012173699A1 (en) * 2011-06-15 2012-12-20 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9064808B2 (en) * 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8609550B2 (en) 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014112644A (ja) * 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9366966B2 (en) 2014-07-10 2016-06-14 Applied Materials, Inc. Electric/magnetic field guided acid profile control in a photoresist layer
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9823570B2 (en) 2015-04-02 2017-11-21 Applied Materials, Inc. Field guided post exposure bake application for photoresist microbridge defects
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN113196452A (zh) 2019-01-18 2021-07-30 应用材料公司 用于电场引导的光刻胶图案化工艺的膜结构
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220112135A (ko) * 2021-02-03 2022-08-10 에스케이하이닉스 주식회사 극자외선광 및 전기장을 이용한 레지스트 패턴 형성 방법 및 장치
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200845185A (en) * 2007-01-16 2008-11-16 Hitachi High Tech Corp Plasma processing method
CN101421824A (zh) * 2006-03-09 2009-04-29 美光科技公司 对集成电路进行临界尺寸控制的修整工艺
WO2009114244A2 (en) * 2008-03-11 2009-09-17 Lam Research Corporation Line width roughness improvement with noble gas plasma
CN101667543A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 等离子体处理方法及抗蚀剂图案的改性方法

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
JPH0216732A (ja) 1988-07-05 1990-01-19 Mitsubishi Electric Corp プラズマ反応装置
US5133826A (en) 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5366586A (en) 1992-02-03 1994-11-22 Nec Corporation Plasma formation using electron cyclotron resonance and method for processing substrate by using the same
JP3147595B2 (ja) 1993-07-22 2001-03-19 富士電機株式会社 電磁波検出装置
JPH07201820A (ja) 1993-12-28 1995-08-04 Fujitsu Ltd 水銀カドミウムテルル基板のエッチング方法
JP3258240B2 (ja) * 1996-09-10 2002-02-18 株式会社日立製作所 エッチング方法
JPH11297673A (ja) 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
KR20010063725A (ko) * 1999-12-24 2001-07-09 박종섭 반도체 소자 제조용 포토레지스트 패턴의 선폭 조절방법
EP1178134A1 (fr) * 2000-08-04 2002-02-06 Cold Plasma Applications C.P.A. Procédé et dispositif pour traiter des substrats métalliques au défilé par plasma
JP3764639B2 (ja) 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
KR20050044806A (ko) * 2002-09-18 2005-05-12 맷슨 테크놀로지, 인크. 물질을 제거하기 위한 시스템 및 방법
EP1632992A4 (en) 2003-06-06 2008-02-27 Tokyo Electron Ltd METHOD FOR IMPROVING THE SURFACE WEIGHT OF PROCESSED SUBSTRATE FILM AND DEVICE FOR PROCESSING A SUBSTRATE
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
JP2006147449A (ja) 2004-11-24 2006-06-08 Japan Aerospace Exploration Agency 高周波放電プラズマ生成型二段式ホール効果プラズマ加速器
WO2006081534A1 (en) 2005-01-28 2006-08-03 Micell Technologies, Inc. Compositions and methods for image development of conventional chemically amplified photoresists
JP4302065B2 (ja) 2005-01-31 2009-07-22 株式会社東芝 パターン形成方法
US20070049048A1 (en) * 2005-08-31 2007-03-01 Shahid Rauf Method and apparatus for improving nitrogen profile during plasma nitridation
TWI305826B (en) 2006-12-13 2009-02-01 Ind Tech Res Inst Method for correlating the line width roughness of gratings and method for measurement
NL2004085A (en) 2009-03-11 2010-09-14 Asml Netherlands Bv Radiation source, lithographic apparatus, and device manufacturing method.
CN103180932A (zh) * 2010-10-27 2013-06-26 应用材料公司 用于控制光刻胶线宽粗糙度的方法及设备

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101421824A (zh) * 2006-03-09 2009-04-29 美光科技公司 对集成电路进行临界尺寸控制的修整工艺
TW200845185A (en) * 2007-01-16 2008-11-16 Hitachi High Tech Corp Plasma processing method
WO2009114244A2 (en) * 2008-03-11 2009-09-17 Lam Research Corporation Line width roughness improvement with noble gas plasma
CN101667543A (zh) * 2008-09-04 2010-03-10 东京毅力科创株式会社 等离子体处理方法及抗蚀剂图案的改性方法

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104347392A (zh) * 2013-08-05 2015-02-11 中芯国际集成电路制造(上海)有限公司 图形化方法
CN105977200A (zh) * 2015-03-12 2016-09-28 台湾积体电路制造股份有限公司 具有小线间距和小端-端间隔的半导体器件结构的形成方法
CN105977200B (zh) * 2015-03-12 2019-07-05 台湾积体电路制造股份有限公司 具有小线间距和小端-端间隔的半导体器件结构的形成方法
US10679863B2 (en) 2015-03-12 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with fine line pitch and fine end-to-end space
US11217458B2 (en) 2015-03-12 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with fine line pitch and fine end-to-end space
CN106249554A (zh) * 2015-06-08 2016-12-21 应用材料公司 浸没场引导的曝光和曝光后烘烤工艺
CN106249554B (zh) * 2015-06-08 2021-04-02 应用材料公司 浸没场引导的曝光和曝光后烘烤工艺
CN108550520A (zh) * 2018-04-27 2018-09-18 武汉新芯集成电路制造有限公司 一种改善存储区浅槽线平整度的方法

Also Published As

Publication number Publication date
TW201241873A (en) 2012-10-16
WO2012057967A3 (en) 2012-06-21
US20120103939A1 (en) 2012-05-03
JP2013542613A (ja) 2013-11-21
KR20130141550A (ko) 2013-12-26
US9039910B2 (en) 2015-05-26
WO2012057967A2 (en) 2012-05-03

Similar Documents

Publication Publication Date Title
CN103180932A (zh) 用于控制光刻胶线宽粗糙度的方法及设备
US9911582B2 (en) Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
TWI489521B (zh) 用於執行多光阻層顯影與蝕刻製程的方法與設備
US8334083B2 (en) Etch process for controlling pattern CD and integrity in multi-layer masks
JP6509495B2 (ja) 半導体製造用の内部プラズマグリッドの適用
JP6506915B2 (ja) 半導体製造用の内部プラズマグリッド
KR101333924B1 (ko) 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템
CN105374713B (zh) 差动泵送反应气体喷射器
US7829469B2 (en) Method and system for uniformity control in ballistic electron beam enhanced plasma processing system
KR101713330B1 (ko) Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법
TWI545646B (zh) 臨界尺寸偏差降低之含矽抗反射塗布層之蝕刻方法
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
TWI830683B (zh) 用於電場引導的光阻劑圖案化製程的膜結構
US9366966B2 (en) Electric/magnetic field guided acid profile control in a photoresist layer
TWI816094B (zh) 用於光阻圖案化的微影處理窗增強
KR20200130518A (ko) 원하는 치수들로 재료 층을 패터닝하기 위한 방법
TW201621983A (zh) 使次解析度等級之臨界尺寸不同的圖案化方法
US20180358233A1 (en) Method of plasma etching of silicon-containing organic film using sulfur-based chemistry
WO2011119471A2 (en) Dc voltage charging of cathode for plasma striking
JP2727781B2 (ja) ドライエッチング方法
JPH0883787A (ja) プラズマ処理方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20130626