TW201621983A - 使次解析度等級之臨界尺寸不同的圖案化方法 - Google Patents

使次解析度等級之臨界尺寸不同的圖案化方法 Download PDF

Info

Publication number
TW201621983A
TW201621983A TW104126025A TW104126025A TW201621983A TW 201621983 A TW201621983 A TW 201621983A TW 104126025 A TW104126025 A TW 104126025A TW 104126025 A TW104126025 A TW 104126025A TW 201621983 A TW201621983 A TW 201621983A
Authority
TW
Taiwan
Prior art keywords
structures
processing
plasma
semiconductor substrate
substrate according
Prior art date
Application number
TW104126025A
Other languages
English (en)
Other versions
TWI627661B (zh
Inventor
安潔莉 D 萊利
高明輝
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201621983A publication Critical patent/TW201621983A/zh
Application granted granted Critical
Publication of TWI627661B publication Critical patent/TWI627661B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/223Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase
    • H01L21/2236Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a gaseous phase from or into a plasma phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32105Oxidation of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Abstract

此類技術包含電漿氧化處理,其將材料改質至圍著心軸或間隔物或其他結構之預定厚度。該電漿氧化的後續接著為化學氧化物移除處理。在該結構僅一部分被氧化的情況下,或藉由選擇性遮蔽被氧化之結構的一部分,該化學氧化物移除處理實質上僅將該結構的一部分縮小,因而產生具有不同臨界尺寸之結構,其可作為蝕刻遮罩以將圖案轉移至一或更多的下方層中。因此,可製造次解析度等級之具有不同臨界尺寸的結構。

Description

使次解析度等級之臨界尺寸不同的圖案化方法
本揭露內容係關於基板處理,更具體而言,係關於將基板(包含半導體晶圓)圖案化之技術。
在半導體晶圓上製造結構一般涉及以下步驟:藉由微影曝光將光阻膜圖案化、使用各種化學顯影劑來將該光阻膜顯影以產生浮凸圖案、而接著使用蝕刻製程將由該光阻膜所界定之該浮凸圖案轉移至一或更多的下方層中。半導體產業不斷地發展電子裝置,此情況表示晶圓上之結構及特徵部持續地縮小。在微影製程中,歷史上縮小線寬的方法已涉及使用具更大數值孔徑(NA)之光學元件、更短的曝光波長、或除了空氣之外的界面媒體(如:水浸潤)。當習知微影製程之解析度已接近理論上的極限,製造商已開始轉向雙重圖案化(DP, double-patterning)之方法以克服光學上的限制。
在材料處理方法(如:光微影)中,產生圖案化的層係包含將輻射敏感性材料(如:光阻)之薄層塗佈於基板之上表面。此輻射敏感性材料係轉變為圖案化之遮罩,其可用於蝕刻或將圖案轉移至基板上的下方層中。將該輻射敏感性材料圖案化一般係涉及使用例如光微影系統,並藉由輻射源透過初縮遮罩(及相關光學元件) 而曝光至該輻射敏感性材料上。該曝光隨後接著可為使用顯影溶劑來移除該輻射敏感性材料之受照射區(如在正型光阻的情況下),或未受照射區(如在負型光阻的情況下)。此遮罩層可包含多個子層。
用以將輻射或光之圖案曝光於基板上之習知的微影技術,具有各種挑戰,該等挑戰侷限曝光之特徵部的尺寸、且侷限曝光之特徵部之間的節距或間隔。
將半導體基板圖案化的一挑戰係同時製造具有不同臨界尺寸之結構。次解析度之尺寸尤其如此。在既定設計規格之兩不同的臨界尺寸係落於習知光微影之限制內的情況下,初縮遮罩可用於產生不同尺寸的結構。然而,若在一對臨界尺寸中所指定之至少一臨界尺寸係小於一既定習知光微影系統之圖案化能力,則該光微影系統無法用於準確地將基板圖案化。雙重圖案化技術已被用於在超出習知光微影系統的光學限制之情況下進行圖案化。此類雙重圖案化技術可包含側壁影像轉移、自對準雙重圖案化等。
儘管雙重圖案化技術可於光學限制以下縮小臨界尺寸,但該縮小在整個晶圓上係為一致的。因此,此類雙重圖案化技術無法進行多個不同的臨界尺寸之圖案化。例如,雙重圖案化技術一般涉及以下步驟:圍著心軸沉積間隔物、移除該心軸、並接著將由間隔物所界定之圖案轉移至下方層。然而,該間隔物起初係作為保形膜而沉積。保形膜在所有的表面(水平的及垂直的)上具有一致的厚度,亦即,相同的臨界尺寸產生於各處。此情況表示,若一既定裝置之設計規格具有多個不同的尺寸,此類不同的尺寸無法單獨藉由雙重圖案化來達成。作為非限制性的實例,既定裝置之設計可指定製造閘極及/或鰭狀片,其可同時(或近乎同時)製造以達到高產率。因此,當裝置設計指定14 nm、10 nm、或以下的鰭狀片或閘極,具有不同臨界尺寸之結構的圖案化係特別具挑戰性。一習知技術涉及使用加熱爐來沉積氧化物間隔物,但此技術會增加臨界尺寸,並產生較厚的間隔物,而非使一組結構變窄。再者,加熱爐氧化作用係相對地慢且難以準確並均勻地控制。
本說明書中之技術使用電漿氧化處理以將材料改質至圍著心軸或間隔物或其他結構之預定厚度。該電漿氧化處理後續接著為化學氧化物移除處理。在該結構僅一部分被氧化的情況下,或藉由覆蓋被氧化之結構的一部分,該化學氧化物移除處理實質上僅將該結構的一部分縮小,因而產生具有不同臨界尺寸之結構。該等不同尺寸之結構或線可接著作為蝕刻遮罩以將圖案轉移至一或更多的下方層中。因此,可製造次解析度等級之具有不同臨界尺寸之結構。
一例示性實施例包含處理半導體基板之方法。該方法包含在電漿處理腔室中,將基板安置於基板支撐體上。該基板具有安置於下方層之上的多個結構。該多個結構由矽及/或氮化矽所組成,而各結構皆具有初始臨界尺寸。在該電漿處理腔室中,該基板接著暴露於含氧電漿,以使結構之暴露表面被氧化至該等結構中的預定厚度,而造成氧化物層。來自該多個結構之第一部分結構係被受到保護性的遮罩所遮蔽,而使來自該多個結構之第二部分結構不具該保護性的遮罩。接著使用化學氧化物移除製程將該氧化物層自該第二部分結構中之結構移除,此導致該第二部分結構具有所造成之臨界尺寸,其小於該初始臨界尺寸。在其他實施例中,可於電漿氧化之前執行遮蔽,如此一來僅有一部分的結構被氧化物層所氧化。接著可藉由化學氧化物移除來將該氧化物層移除,無論在移除該遮罩之前或之後皆可進行。
當然,如本說明書中所敘述之該不同步驟的論述順序係以清楚闡明為目的來呈現。一般而言,該等步驟可以任何合適的順序來執行。此外,雖然本說明書中之各個不同的特徵、技術、構造等,皆可論述於本揭露內容之不同位置中,但其用意在於各個概念可個別獨立執行或互相組合來執行。因此,可以許多不同的方式來實施及考量本發明。
應注意,本「發明內容」部分不具體說明本揭露內容或所請發明之各個實施例及/或漸增新穎態樣。反而,本發明內容僅提供不同實施例之初步的討論及優於習知技術之新穎性的對應要點。對於本發明及實施例之額外的細節及/或合理的觀點,讀者將被導引至本揭露內容之「實施方式」部分及對應之圖,如以下進一步討論。
本說明書中之技術使用電漿氧化處理以將材料改質至圍著心軸或間隔物或其他結構之預定厚度(永久性的或犧牲性的)。此電漿氧化的後續接著為化學氧化物移除處理(氣態的、無電漿之蝕刻)。在該結構僅一部分被氧化的情況下,或藉由覆蓋被氧化之結構的一部分,該化學氧化物移除處理實質上僅將該結構的一部分縮小,因而產生具有不同臨界尺寸之結構,其可作為蝕刻遮罩以將圖案轉移至一或更多的下方層中。因此,可製造次解析度等級之具有不同臨界尺寸之結構,亦即,小於習知光微影系統可印刷之尺寸。
現參照圖1及圖2A至2G,概略地呈現將基板圖案化之例示性方法。在步驟110中,在電漿處理腔室中,將基板安置於基板支撐體上。電漿處理腔室係為一般習知,而例示性之電漿處理腔室將說明如下。該基板具有多個安置於下方層之上的結構。圖2A顯示基板205的例示性橫剖面片段。基板205顯示安置於下方層215之上的結構220。亦顯示第二下方層210。應注意該等下方層中之每一者皆可由一或更多的層所組成。結構220顯示為界定浮凸圖案或表面構形圖案,而使下方層215的某些部分被覆蓋,而下方層215的其他部分仍保持未被覆蓋的狀態。作為非限制性的實例,結構220可界定用以蝕刻該鰭狀結構及/或閘極結構的圖案。基板205可為,例如,半導體基板或晶圓。該多個結構由矽(Si)及/或氮化矽(SiN)所組成,而各結構皆具有初始臨界尺寸。可選擇其他材料,其藉由形成氧化物層而對氧自由基或離子反應。
如圖2B中顯示,在步驟120中,於電漿處理腔室中,將基板205暴露於含氧之電漿285。在此實例中,繪示既定電漿處理系統之上部電極280。基板205暴露於電漿285,而使結構之暴露表面被氧化至結構220中的預定厚度,造成氧化物層250。此類的電漿氧化技術之例示性結果顯示於圖2C中。應注意,氧化物層250實質上生長至結構220中,其可與氧化物沉積(其中既定結構之既定臨界尺寸或寬度增加)對照。使用如本說明書中所揭露之電漿氧化製程,結構寬度上可能發生一些微小、可忽略之增加,其對於後續的製造過程而言通常無實質影響。氧化物層250實質上生長至結構220中達某預定深度、距離、或厚度。換言之,暴露之矽或氮化矽表面的外部部分受到改質,或轉變為氧化物材料。應注意,在水平或垂直表面上皆可同樣成功地形成氧化物層250。此情況可藉由使用一般等向性的氧電漿處理而部分完成。換言之,藉由使用電漿氧化製程,該矽或氮化矽材料改質為氧化物或類似氧化物之材料。將該基板暴露於該含氧電漿可包含以下步驟:在電漿處理腔室中維持來自含氧氣體之氣流的電漿,以使來自該電漿之產物化學性地改質該多個結構之暴露表面。在一例示性之實施例中,該含氧氣體實質上為O2 。其他可使用之含氧氣體包含CO及CO2 。依既定的應用方法及處理參數,使用CO及CO2 可能造成一些碳沉積,對於特定的材料方法而言,該碳沉積係為不樂見的,在該情況下,使用純氧可為較佳。載氣(如:He或Ar)亦可與該氧化氣體一起使用。
藉由控制一或更多的電漿處理參數,可控制氧化物層250形成的該預定之深度。此類參數可包含例如電漿暴露時間、氧流率、壓力、溫度、射頻功率等。舉例而言,具有相對低的腔室壓力可增加電漿氧化速率。同樣地,相對較高的溫度亦可增加電漿氧化速率。所選擇的電漿處理腔室之類型亦可影響氧化物層的生長速率。例如,使用表面波電漿(使用槽孔天線及微波功率所產生)所產生之電漿,可產生較來自其他電漿產生系統之電漿更為密集的電漿。具有增加的電漿密度可促進更快速的氧化速率。
在步驟130中,該多個結構之第一部分結構受到保護性的遮罩所遮蔽,而來自該多個結構之第二部分結構則不具該保護性的遮罩。換言之,執行遮蔽製程造成該結構的一部分受遮罩所覆蓋(包圍)。將結構的一部分遮蔽可涉及習知的微影遮蔽及顯影技術。在某些實施例中,遮蔽可涉及以下步驟:將整個基板以薄膜覆蓋、將該基板對一微影圖案曝光、並接著將該薄膜的部分顯影/移除,以使該結構之所需部分不受該遮罩所覆蓋。圖2D顯示將第一部分結構遮蔽的例示性結果。應注意,在此例示性片段中,遮罩260覆蓋(保護)結構220B及220C,而結構220A則為未受到覆蓋的狀態、或暴露的狀態。
在步驟140中,藉由使用化學氧化物移除製程,將氧化物層250自第二部分結構中的結構移除,此導致該第二部分結構具有所造成的臨界尺寸,其小於該初始臨界尺寸。例示性之結果顯示於圖2E。應注意,氧化物層250已自結構220A移除。所以,結構220A具有之臨界尺寸或寬度,係小於圖2A中之結構220的臨界尺寸。化學氧化物移除技術及系統係為已知。此類技術一般涉及使用氣態之無電漿且無損害的蝕刻,其將氧化物及類似氧化物之材料移除,且對於其他材料(如:氮化物、光阻、及矽材料)具有相當高的選擇性。各種習知可用的基板清潔工具可用於此類的化學氧化物移除。例示性化學氧化物移除使用比例約為2:1的氟化氫(HF, hydrogen fluoride)及氨(NH3 , ammonia)之混合物,而於低於15 mTorr的壓力下,及25℃下進行一反應,以形成固體氟矽酸銨,隨後為超過100℃之溫度下的蒸發作用。此類化學氧化物移除製程致使精準的縮減。因此,在遮罩260由光阻材料所組成的情況下,此類的遮罩可防止自該遮罩所覆蓋的結構發生氧化物層250之化學氧化物移除作用。在某些實施例中,下方層215亦可為氧化物材料。若此下方層為氧化物,則該層可能發生某些移除作用,但自該下方層移除之量係相對小且通常可忽略,且由於該移除係為垂直方向上的,因此不影響線寬。再者,被氧化且移除之下方層215的部分,通常係為在後續製造步驟中將被蝕刻掉的部分。
在步驟150,將遮蔽該第一部分結構之遮蔽材料移除。藉由使用各種技術(如:灰化製程),可完成移除。圖2F顯示在移除遮罩260之後的例示性基板片段之結果。應注意,該結果係為結構界定出具有不同臨界尺寸的遮罩圖案。例如,相較於仍保留氧化物層250或在電漿氧化期間未曾被氧化之結構,氧化物層250被移除之結構可具有較小的臨界尺寸或寬度。在步驟160中,透過一或更多個蝕刻製程(如:反應性離子蝕刻製程),可將由多個結構220所界定之圖案轉移至下方層215中。圖2G顯示在圖案轉移之後的例示性結果。圖2H顯示在結構220已自基板205移除後,由下方層215所界定之圖案。如所能觀察,轉移該圖案造成界定出具有不同臨界尺寸之結構(如:閘極結構)的結果。
圖3A至3H繪示本說明書中之技術的替代實施例。圖3A與圖2A相似。提供或接收基板,該基板具有安置於下方層215之上的多個結構220。多個結構220由矽或氮化矽或另外可於電漿氧環境中產生氧化物層的材料所組成。各結構皆具有初始臨界尺寸。為便於描述本說明書中之實施例,多個結構220內之結構可視為具有相同的初始臨界尺寸。
在圖3B中,來自該多個結構220之第一部分結構受到保護性的遮罩所遮蔽,而來自多個結構之第二部分結構則不具該保護性的遮罩。應注意,遮罩260覆蓋結構220B及220C,而結構220A則仍保持未受到覆蓋、或未受到遮蔽的狀態。應注意,若使用習知遮蔽技術來執行此類遮蔽,則在該遮蔽製程期間,結構220A可能將暫時地受到覆蓋,而接著裸露出來。該遮蔽可於任何電漿氧化處理之前發生。
在該結構的一部分已被遮蔽後,於電漿處理腔室中,該基板暴露於含氧電漿,而使來自該第二部分結構的結構之暴露表面被氧化至來自該第二部分結構的結構中之預定厚度或深度,造成該第二部分結構上的氧化物層。圖3D顯示結構220A此時具有氧化物層250。在該電漿氧化製程期間,由於結構220B及220C受到保護,因此該等結構不具有氧化物層。遮罩260接著可自基板205移除。圖3E顯示具有氧化物層250的部分結構 (220A)之結果,而剩餘的部分(220B及220C)仍保持未改質的狀態。
藉由使用化學氧化物移除(COR, chemical oxide removal)製程,氧化物層250此時自該第二部分結構中的結構移除,此導致該第二部分結構具有所造成的臨界尺寸,其小於該初始臨界尺寸。例示性結果繪示於圖3F。此時由結構220(具有已改變之臨界尺寸)所界定之該所造成之圖案,可轉移至下方層215中(圖3G),而接著結構220可自基板205移除(圖3H)。應注意,在此例示性圖案化順序中,係於COR之前,該第二部分結構氧化之後,移除遮罩260。或者,可在將該氧化物層自該第二部分結構中的結構移除之後,移除遮罩260。在特定的實施例中,由於將結構的一部分氧化之同一電漿處理系統亦可用於移除遮罩260(例如:藉由使用灰化製程),因此在COR之前移除遮罩260係可為有利的。
圖4A-4I繪示本說明書中之技術的替代實施例。在電漿處理腔室中,將基板安置於基板支撐體之上。該基板具有多個結構220,其安置於下方層215之上。該多個結構包含形成於心軸上的氮化矽側壁間隔物235,而各結構皆具有初始臨界尺寸。藉由非等向性地蝕刻保形氮化矽膜230(如圖4A中所示),可產生氮化矽側壁間隔物235(如圖4B中所示)。
在該電漿處理腔室中,將該基板暴露於含氧電漿,以使氮化矽側壁間隔物235之暴露表面被氧化至該等氮化矽側壁間隔物中的預定厚度,造成氧化物層250,如圖4C中所示。該多個結構之第一部分結構受到保護性的遮罩206所遮蔽,如圖4D所示。在遮罩260處於適當位置的情況下,藉由使用COR製程,氧化物層250自該第二部分結構中的氮化矽側壁間隔物被移除(圖4E)。該COR製程導致該第二部分結構具有所造成的臨界尺寸,其小於該初始臨界尺寸。換言之,該等氮化矽側壁間隔物的一部分已變窄,如圖4F所示。圖4F亦顯示遮罩260已被移除。在某些實施例中,可移除多個結構220(作為心軸)(圖4G)。由該等氮化矽側壁間隔物所界定之圖案可轉移至下方層215中(圖4H)。某些間隔物因由氮化矽及氧化物層兩者所組成,可維持初始臨界尺寸,而其他氮化矽間隔物因不具有該氧化物層,則具有相對較小的臨界尺寸。在該圖案轉移之後,可移除任何剩餘的間隔物或氧化物材料,產生下方層215中具有不同尺寸的結構(圖4I)。
視可控制的電漿處理參數而定,可將氧化物層250之厚度由約1 nm改變至數個奈米或更多。應注意,在該例示性實施例之任何一者中,在將所界定之圖案轉移至下方層之前,可於既定基板上將電漿氧化、部分遮蔽、及COR重複兩或更多次。例如,若既定電漿處理系統具有將基板氧化3 nm的能力,而每個表面需移除6 nm,則可執行兩個電漿氧化及COR循環。
各種不同的習知電漿處理系統可用於氧化、沉積、及蝕刻之製程步驟。依上述各種實施例來實施電漿氧化製程及蝕刻轉移製程之順序的一或更多的方法,係可於各種習知電漿處理系統之任何一者中實施。圖5繪示例示性的電漿處理系統500。電漿處理系統500係配置成實施上述製程條件,其並包含電漿處理腔室510、基板支撐體520(待處理的基板525固定於其上)、及真空泵系統550。基板525可為半導體基板、晶圓、平面顯示器、或液晶顯示器。電漿處理腔室510係可配置成有助於在鄰近基板525之表面的電漿處理區域545中產生電漿。經由氣體分佈系統540引入可離子化之氣體或製程氣體的混合物。對於既定的製程氣體氣流而言,可使用真空泵系統550來調整製程壓力。電漿可用以產生預定材料製程所特有的材料,及/或協助自基板525之暴露表面移除材料。電漿處理系統500可配置成處理任何期望尺寸之基板,如200 mm基板、300 mm基板、450 mm或更大。
經由夾持系統528,如機械夾持系統或電性夾持系統(如:靜電夾持系統),可將基板525固定於基板支撐體520。再者,基板支撐體520可包含加熱系統(未顯示)或冷卻系統(未顯示),其配置成調整及/或控制基板支撐體520及基板525之溫度。該加熱系統或冷卻系統可包含再循環傳熱流體流,當進行冷卻時,該再循環傳熱流體流接受來自基板支撐體520的熱,且將熱傳遞至熱交換器系統(未顯示),或者當進行加熱時,該再循環傳熱流體流將來自該熱交換器系統之熱傳遞至基板支撐體520。在其他實施例中,加熱/冷卻元件(如:電阻加熱元件、或熱-電加熱器/冷卻器)可包含於基板支撐體520中,且亦可包含於電漿處理腔室510之腔室壁中,及電漿處理系統500內的任何其他元件中。
此外,經由背側氣體供應系統526,可將傳熱氣體輸送至基板525的背側,以改善基板525及基板支撐體520之間的氣-隙熱傳導。當基板的溫度控制被要求在升高或降低之溫度時,即可利用此類系統。舉例而言,該背側氣體供應系統可包含兩區段氣體分佈系統,其中該氦氣-隙壓力可於基板525的中心與邊緣之間獨立變化。
基板支撐體520可包含電極522,射頻功率係透過該電極而耦合至電漿處理區域545中的處理電漿。舉例而言,經由自射頻產生器530透過非必要性的阻抗匹配網路532至基板支撐體520之射頻功率傳輸,基板支撐體520可在射頻電壓下受到電性偏壓。該射頻電性偏壓可用以加熱電子而形成並維持電漿。在此構造中,該系統可如反應性離子蝕刻(RIE,reactive ion etch)反應器般地操作,其中該腔室及上部氣體注入電極係作為接地表面。射頻偏壓的典型頻率可自約0.1 MHz分佈至約100 MHz。電漿處理用之射頻系統係為熟習該技術領域者所熟知。
再者,在射頻電壓下,電極522的電性偏壓可使用脈衝偏壓信號控制器531使之產生脈衝。例如,來自射頻產生器530的射頻功率輸出可於關閉狀態與開啟狀態之間產生脈衝。或者,在多個頻率下,將射頻功率施加至該基板支撐體電極。此外,藉由減少反射功率,阻抗匹配網路532可改善對電漿處理腔室510中之電漿的射頻功率傳遞。匹配網路拓樸及自動控制方法係為熟習該技術領域者所熟知。
氣體分佈系統540可包含用以引入製程氣體之混合物的噴淋頭設計。或者,氣體分佈系統540可包含用以導入製程氣體之混合物並調整該製程氣體之混合物在基板525上方的分佈的多區段噴淋頭設計。例如,該多區段噴淋頭設計可配製成,相對於到達基板525上方的實質中心區域之製程氣體氣流或組成物的量,而調整到達基板525上方之實質周邊區域的製程氣體氣流或組成物。
真空泵系統550可包含抽取速度能夠高達每秒5000升(以上)的渦輪分子式真空泵浦(TMP,turbo-molecular vacuum pump)、及用以調節腔室壓力的閘閥。在習知用於乾式電漿蝕刻的電漿處理裝置中,可使用每秒1000到3000升的TMP。TMP對於低壓處理(通常小於約50 mTorr)係為有用的。而對於高壓處理(即,大於約100 mTorr),可使用機械增壓泵浦及乾式粗抽泵浦。再者,可將用於監視腔室壓力的裝置(未顯示)耦合至電漿處理腔室510。
控制器555包含微處理器、記憶體、以及數位I/O埠,其能夠產生控制電壓,該控制電壓足以傳輸並啟動對於電漿處理系統500的輸入,並且監視來自電漿處理系統500的輸出。此外,控制器555可耦合至射頻產生器530、脈衝偏壓信號控制器531、阻抗匹配網路532、氣體分佈系統540、真空泵系統550、及基板加熱/冷卻系統(未顯示)、背側氣體供應系統526、及/或靜電的夾持系統528,並可與其交換資訊。舉例而言,可利用儲存在該記憶體中的程式,依照製程配方來啟動對於前述電漿處理系統500之元件的輸入,以實施在基板525上之電漿輔助製程,如電漿蝕刻製程。
控制器555可相對於電漿處理系統500而就近設置,或可相對於電漿處理系統500而遠距設置。例如,控制器555可使用直接連接、內部網路、及/或網際網路來與電漿處理系統500交換資料。控制器555可耦合至例如位於客戶位置(即,裝置製造商等)之內部網路,或者其可耦合至例如位於供應商位置(即,設備製造商)之內部網路。或者或此外,控制器555可耦合至網際網路。再者,另一電腦(即,控制器、伺服器等)可經由直接連接、內部網路、及/或網際網路來存取控制器555而交換資料。
電漿處理系統500更可包含固定式、或者機械或電性旋轉式磁場系統(未顯示),以潛在地增加電漿密度及/或改善電漿處理之均勻度。再者,控制器555可耦合至磁場系統以調整旋轉速度與場強度。旋轉式磁場系統之設計及實施係為熟習該技術領域者所熟知。
電漿處理系統500更可包含上部電極570,射頻功率可自射頻產生器572透過非必要性之阻抗匹配網路574而耦合至該上部電極。施加至該上部電極的射頻功率之頻率可從約0.1 MHz分佈至約200 MHz。此外,施加至該下部電極的功率之頻率可從約0.1 MHz分佈至約100 MHz。再者,控制器555係耦合至射頻產生器572及阻抗匹配網路574,以控制對上部電極570的射頻功率施加。上部電極的設計及實施係為熟習該技術領域者所熟知。如所示,可將上部電極570及氣體分佈系統540設計於同一腔室組件內。或者,上部電極570可包含多區段電極,其用以調整耦合至基板525上方之電漿的射頻功率分佈。例如,上部電極570可被分段為中央電極與邊緣電極。
電漿處理系統500更可包含直流(DC, direct current)電源590,該DC電源係耦合至與基板525相對之上部電極570。上部電極570可包含電極板。該電極板可包含含矽電極板。再者,該電極板可包含摻矽電極板。DC電源590可包含可變DC電源。此外,DC電源590可包含雙極DC電源。DC電源590更可包含系統,該系統係用以執行監視、調整、或控制DC電源590的極性、電流、電壓、或開啟/關閉狀態之至少其中一者。一旦形成電漿,DC電源590會促進彈道電子束的形成。可利用電濾波器(未顯示)使射頻功率自DC電源590解耦合。
舉例而言,由DC電源590施加至上部電極570的DC電壓可從約-2000伏特(V, volts)分佈至約1000 V。理想上,該DC電壓的絕對值具有大於或等於約100 V的值,而更理想的情況下,該DC電壓的絕對值具有大於或等於約500 V的值。此外,理想上,該DC電壓具有負極性。再者,理想上,該DC電壓係為負電壓,其具有大於上部電極570之表面上所產生之自偏壓的絕對值。朝向基板支撐體520之上部電極570的表面可由含矽材料所組成。
亦可使用其他類型之已知電漿處理系統來執行本說明書中所揭露之技術。例如,替代之電漿處理腔室(未顯示)更可包含感應線圈,射頻功率係經由射頻產生器透過非必要性之阻抗匹配網路而耦合至該感應線圈。射頻功率係自該感應線圈透過介電窗而感應耦合至電漿處理區域。施加至感應線圈的RF功率之頻率可從約10 MHz分佈至約100 MHz。同樣地,施加至夾具電極的功率之頻率可從約0.1 MHz分佈至約100 MHz。此外,可使用具槽溝的法拉第屏蔽來減少該感應線圈與該電漿處理區域中的電漿之間的電容耦合。再者,可將控制器耦合至該射頻產生器及該阻抗匹配網路,以控制對該感應線圈之功率施加。
在另一實施例中,電漿處理系統可包含感應線圈,該感應線圈為「螺旋狀」線圈或「扁平狀」線圈,其係如同在變壓器耦合電漿(TCP, transformer coupled plasma)反應器中般,自上方與電漿處理區域連通。感應耦合電漿(ICP, inductively coupled plasma)源或TCP源之設計及實施係為熟習該技術領域者所熟知。或者,可使用電子迴旋共振(ECR, electron cyclotron resonance)來形成電漿。在又另一實施例中,電漿係由發射螺旋波而形成。在又另一實施例中,電漿係由傳播表面波而形成。其他電漿處理系統可類似於圖5之實施例,並且更可包含表面波電漿(SWP, surface wave plasma)源。該SWP源可包含槽孔天線,如輻射線槽孔天線,微波功率係經由功率耦合系統而耦合至該槽孔天線。上述各電漿源係皆為熟習該技術領域者所熟知。
在先前的敘述中,已提出具體細節,如處理系統之特定幾何結構、及本說明書中所使用的各種元件與製程之描述。然而應瞭解,在悖離該等具體細節的其他實施例中,仍可實施本說明書中之技術,並且此類細節係以說明為目的而非限制。本說明書中所揭露之實施例已參照隨附之圖來描述。同樣地,以說明為目的,已提出具體的數量、材料、及構造,以提供對本發明之透徹瞭解。然而,在不具有此類具體細節的情況下,仍可實施本發明。具有實質上相同功能結構的元件以相同的參考符號來表示,而因此可省略任何多餘的敘述。
各種技術已被敘述為多個分離的操作以幫助了解各種實施例。敘述之順序不應被視為暗指這些操作必須順序相依。更確切地,該等操作並不一定需依出現的順序來執行。可以不同於所敘述之實施例的順序來執行所敘述之操作。在附加的實施例中,可執行各種附加操作,及/或可省略所敘述之操作。
本說明書中所使用之「基板」或「目標基板」一般係指依據本發明所處理之物。該基板可包含裝置的任何材料部分或結構,特別指半導體或其他電子裝置,且可為,例如:基座基板結構,如半導體晶圓、或基座基板結構之上或覆蓋該基座基板結構之層,如薄膜。因此,此處並不將基板限定於任何特定的基座結構、下方層或上方層、圖案化或非圖案化,而是意指基板係包含任何此類層或基座基板,及任何層及/或基座基板之組合。此處的描述可能參考特殊類型之基板,但僅以說明性為目的。
熟習該領域技術者亦可瞭解上述技術之操作可進行許多變化而仍達到與本發明相同之目的。此類變化意指涵蓋於本揭露內容之範圍。就此而言,前述之本發明實施例並非意指限制。確切而言,本發明之實施例的任何限制列於以下申請專利範圍中。
110‧‧‧步驟
120‧‧‧步驟
130‧‧‧步驟
140‧‧‧步驟
150‧‧‧步驟
160‧‧‧步驟
205‧‧‧基板
210‧‧‧第二下方層
215‧‧‧下方層
220‧‧‧結構
220A‧‧‧結構
220B‧‧‧結構
220C‧‧‧結構
230‧‧‧保形氮化矽膜
235‧‧‧氮化矽側壁間隔物
250‧‧‧氧化物層
260‧‧‧遮罩
280‧‧‧上部電極
285‧‧‧電漿
500‧‧‧電漿處理系統
510‧‧‧電漿處理腔室
520‧‧‧基板支撐體
522‧‧‧電極
525‧‧‧基板
526‧‧‧背側氣體供應系統
528‧‧‧夾持系統
530‧‧‧射頻產生器
531‧‧‧脈衝偏壓信號控制器
532‧‧‧阻抗匹配網路
540‧‧‧氣體分佈系統
545‧‧‧電漿處理區域
550‧‧‧真空泵系統
555‧‧‧控制器
570‧‧‧上部電極
572‧‧‧射頻產生器
574‧‧‧阻抗匹配網路
590‧‧‧直流電源
參照以下連同隨附之圖示一併考量之「實施方式」,將可更加容易地透徹理解本發明之各種實施例及伴隨其中之許多優點。該等圖示並不一定按照比例,反而將重點置於繪示該特徵、原則、及概念。
圖1依據本說明書中所揭露之技術,係為用於將基板圖案化之方法的流程圖。
圖2A至2H係為橫剖面片段之示意圖,其顯示如本說明書中所揭露之圖案化方法的階段。
圖3A至3H係為橫剖面片段之示意圖,其顯示如本說明書中所揭露之圖案化方法的階段。
圖4A至4I係為橫剖面片段之示意圖,其顯示如本說明書中所揭露之圖案化方法的階段。
圖5依據本說明書中之實施例,係為電漿處理系統之示意圖。
210‧‧‧第二下方層
215‧‧‧下方層
220‧‧‧結構
220A‧‧‧結構
220B‧‧‧結構
220C‧‧‧結構
260‧‧‧遮罩

Claims (20)

  1. 一種處理半導體基板之方法,該方法包含: 在電漿處理腔室中,將基板安置於基板支撐體上,該基板具有安置於下方層之上的多個結構,該多個結構由矽(Si)或氮化矽(SiN)所組成,而各結構皆具有初始臨界尺寸; 在該電漿處理腔室中,將該基板暴露於含氧電漿,以使該等結構之暴露表面被氧化至該等結構中的預定厚度,而造成氧化物層; 以保護性的遮罩將該多個結構之第一部分結構遮蔽,以使來自該多個結構之第二部分結構不具該保護性的遮罩;及 使用氣態之化學氧化物移除製程將該氧化物層自該第二部分結構中之結構移除,此導致該第二部分結構具有所造成之臨界尺寸,其小於該初始臨界尺寸。
  2. 如申請專利範圍第1項之處理半導體基板之方法,更包含: 將遮蔽該第一部分結構之遮蔽材料移除。
  3. 如申請專利範圍第2項之處理半導體基板之方法,更包含: 將由該多個結構所界定之圖案轉移至該下方層。
  4. 如申請專利範圍第3項之處理半導體基板之方法,其中該多個結構界定出用以蝕刻電晶體閘極結構之圖案。
  5. 如申請專利範圍第4項之處理半導體基板之方法,其中轉移該圖案造成界定出具有不同臨界尺寸的閘極結構。
  6. 如申請專利範圍第1項之處理半導體基板之方法,其中將該基板暴露於該含氧電漿包含以下步驟: 在該電漿處理腔室中維持來自含氧氣體之氣流的電漿,以使來自該電漿之產物化學性地改質該多個結構之暴露表面。
  7. 如申請專利範圍第6項之處理半導體基板之方法,其中該含氧氣體實質上為O2
  8. 如申請專利範圍第1項之處理半導體基板之方法,其中該預定厚度係基於電漿處理參數。
  9. 如申請專利範圍第1項之處理半導體基板之方法,其中將該氧化物層自該第二部分結構中之結構移除係包含使用氣態氧化物蝕刻清潔製程。
  10. 如申請專利範圍第1項之處理半導體基板之方法,其中將該第一部分結構遮蔽係包含以下步驟:沉積光阻之層、將該光阻圖案化、並將該光阻之可溶部分顯影。
  11. 一種處理半導體基板之方法,該方法包含: 提供基板,該基板具有安置於下方層之上的多個結構,該多個結構由矽(Si)或氮化矽(SiN)所組成,而各結構皆具有初始臨界尺寸; 以保護性的遮罩將來自該多個結構之第一部分結構遮蔽,以使來自該多個結構之第二部分結構不具該保護性的遮罩; 在該電漿處理腔室中,將該基板暴露於含氧電漿,以使來自該第二部分結構之結構的暴露表面被氧化至來自該第二部分結構之結構中的預定厚度,而造成該第二部分結構之上的一氧化物層;及 使用化學氧化物移除製程將該氧化物層自該第二部分結構中之結構移除,此導致該第二部分結構具有所造成之臨界尺寸,其小於該初始臨界尺寸。
  12. 如申請專利範圍第11項之處理半導體基板之方法,更包含: 將遮蔽該第一部分結構之遮蔽材料移除。
  13. 如申請專利範圍第12項之處理半導體基板之方法,其中在該第二部分結構氧化之後,且在將該氧化物層自該第二部分結構中之結構移除之前,移除遮蔽材料。
  14. 如申請專利範圍第12項之處理半導體基板之方法,其中在將該氧化物層自該第二部分結構中之結構移除之後,移除遮蔽材料。
  15. 如申請專利範圍第12項之處理半導體基板之方法,更包含: 將由該多個結構所界定之圖案轉移至該下方層。
  16. 如申請專利範圍第15項之處理半導體基板之方法,其中該多個結構界定出用以蝕刻電晶體閘極結構之圖案,且其中轉移該圖案造成界定出具有不同臨界尺寸的閘極結構。
  17. 如申請專利範圍第11項之處理半導體基板之方法,其中將該基板暴露於該含氧電漿包含以下步驟: 在該電漿處理腔室中維持來自含氧氣體之氣流的電漿,該含氧氣體實質上為O2 ,俾使來自該電漿之產物化學性地改質該多個結構之暴露表面。
  18. 如申請專利範圍第1項之處理半導體基板之方法,其中將該氧化物層自該第二部分結構中之結構移除係包含使用氣態氧化物蝕刻清潔製程;及 其中將該第一部分結構遮蔽係包含以下步驟:沉積光阻之層、將該光阻圖案化、並將該光阻之可溶部分顯影。
  19. 一種處理半導體基板之方法,該方法包含: 在電漿處理腔室中,將基板安置於基板支撐體上,該基板具有安置於下方層之上的多個結構,該多個結構包含形成於心軸上的氮化矽側壁間隔物,而各結構皆具有初始臨界尺寸; 在該電漿處理腔室中,將該基板暴露於含氧電漿,以使氮化矽側壁間隔物之暴露表面被氧化至該等氮化矽側壁間隔物中的預定厚度,而造成氧化物層; 以保護性的遮罩將該多個結構之第一部分結構遮蔽,以使來自該多個結構之第二部分結構不具該保護性的遮罩;及 使用化學氧化物移除製程將該氧化物層自該第二部分結構中之氮化矽側壁間隔物移除,此導致該第二部分結構具有所造成之臨界尺寸,其小於該初始臨界尺寸。
  20. 如申請專利範圍第19項之處理半導體基板之方法,更包含: 將遮蔽該第一部分結構之遮蔽材料移除; 移除各心軸;及 將由該等氮化矽側壁間隔物所界定之圖案轉移至該下方層。
TW104126025A 2014-09-09 2015-08-11 使次解析度等級之臨界尺寸不同的圖案化方法 TWI627661B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/481,136 US9165765B1 (en) 2014-09-09 2014-09-09 Method for patterning differing critical dimensions at sub-resolution scales
US14/481,136 2014-09-09

Publications (2)

Publication Number Publication Date
TW201621983A true TW201621983A (zh) 2016-06-16
TWI627661B TWI627661B (zh) 2018-06-21

Family

ID=54290428

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104126025A TWI627661B (zh) 2014-09-09 2015-08-11 使次解析度等級之臨界尺寸不同的圖案化方法

Country Status (3)

Country Link
US (1) US9165765B1 (zh)
KR (1) KR102328025B1 (zh)
TW (1) TWI627661B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112305856A (zh) * 2019-07-30 2021-02-02 台湾积体电路制造股份有限公司 极紫外光微影光罩与图案化半导体晶圆的方法
TWI835781B (zh) * 2018-03-20 2024-03-21 日商東京威力科創股份有限公司 用於整合型頭尾相接式自對準多重圖案化製程之操作方法

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
US10483109B2 (en) * 2016-04-12 2019-11-19 Tokyo Electron Limited Self-aligned spacer formation
CN110391136B (zh) * 2018-04-17 2022-03-15 联华电子股份有限公司 图案化方法
US10566194B2 (en) 2018-05-07 2020-02-18 Lam Research Corporation Selective deposition of etch-stop layer for enhanced patterning
KR102516879B1 (ko) 2018-08-17 2023-03-31 삼성전자주식회사 다양한 선폭을 가지는 반도체 소자 및 이의 제조 방법
US11355342B2 (en) 2019-06-13 2022-06-07 Nanya Technology Corporation Semiconductor device with reduced critical dimensions and method of manufacturing the same
US10811258B1 (en) * 2019-06-24 2020-10-20 United Microelectronics Corp. Method for improving the quality of a high-voltage metal oxide semiconductor

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3586678B2 (ja) 2002-04-12 2004-11-10 エルピーダメモリ株式会社 エッチング方法
US7910288B2 (en) * 2004-09-01 2011-03-22 Micron Technology, Inc. Mask material conversion
US20070013070A1 (en) * 2005-06-23 2007-01-18 Liang Mong S Semiconductor devices and methods of manufacture thereof
US7662718B2 (en) 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
US7977249B1 (en) * 2007-03-07 2011-07-12 Novellus Systems, Inc. Methods for removing silicon nitride and other materials during fabrication of contacts
KR101101785B1 (ko) 2007-06-08 2012-01-05 도쿄엘렉트론가부시키가이샤 패터닝 방법
US8592318B2 (en) * 2007-11-08 2013-11-26 Lam Research Corporation Pitch reduction using oxide spacer
US8298949B2 (en) * 2009-01-07 2012-10-30 Lam Research Corporation Profile and CD uniformity control by plasma oxidation treatment
JP5275093B2 (ja) 2009-03-13 2013-08-28 東京エレクトロン株式会社 基板処理方法
TWI546859B (zh) * 2012-03-09 2016-08-21 聯華電子股份有限公司 半導體裝置之圖案化結構及其製作方法
US8980111B2 (en) * 2012-05-15 2015-03-17 Tokyo Electron Limited Sidewall image transfer method for low aspect ratio patterns
US9093389B2 (en) * 2013-01-16 2015-07-28 Applied Materials, Inc. Method of patterning a silicon nitride dielectric film

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI835781B (zh) * 2018-03-20 2024-03-21 日商東京威力科創股份有限公司 用於整合型頭尾相接式自對準多重圖案化製程之操作方法
CN112305856A (zh) * 2019-07-30 2021-02-02 台湾积体电路制造股份有限公司 极紫外光微影光罩与图案化半导体晶圆的方法
US11960201B2 (en) 2019-07-30 2024-04-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method of critical dimension control by oxygen and nitrogen plasma treatment in EUV mask
CN112305856B (zh) * 2019-07-30 2024-05-24 台湾积体电路制造股份有限公司 极紫外光微影光罩与图案化半导体晶圆的方法

Also Published As

Publication number Publication date
KR20160030378A (ko) 2016-03-17
KR102328025B1 (ko) 2021-11-17
TWI627661B (zh) 2018-06-21
US9165765B1 (en) 2015-10-20

Similar Documents

Publication Publication Date Title
TWI627661B (zh) 使次解析度等級之臨界尺寸不同的圖案化方法
TWI621177B (zh) 原子層蝕刻方法
KR102489215B1 (ko) 유사 원자층 에칭 방법
US8334083B2 (en) Etch process for controlling pattern CD and integrity in multi-layer masks
US9443731B1 (en) Material processing to achieve sub-10nm patterning
TWI594087B (zh) 光阻遮罩之處理方法及半導體裝置製造方法
JP6175570B2 (ja) ガスパルスを用いる深掘りシリコンエッチングのための方法
US8236700B2 (en) Method for patterning an ARC layer using SF6 and a hydrocarbon gas
US8183161B2 (en) Method and system for dry etching a hafnium containing material
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
TW201703116A (zh) 在自對準圖案化架構中不使用硬遮罩而增加圖案密度之方法
JP6630935B2 (ja) マイクロエレクトロニクス基板上のドライハードマスク除去のための方法
TW201741761A (zh) 選擇性之矽抗反射塗層移除
US9899219B2 (en) Trimming inorganic resists with selected etchant gas mixture and modulation of operating variables
US20080217294A1 (en) Method and system for etching a hafnium containing material
JP7202489B2 (ja) プラズマ処理方法
WO2021171458A1 (ja) プラズマ処理方法
KR102448699B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법
JP6328703B2 (ja) 半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees