TWI621177B - 原子層蝕刻方法 - Google Patents

原子層蝕刻方法 Download PDF

Info

Publication number
TWI621177B
TWI621177B TW105109945A TW105109945A TWI621177B TW I621177 B TWI621177 B TW I621177B TW 105109945 A TW105109945 A TW 105109945A TW 105109945 A TW105109945 A TW 105109945A TW I621177 B TWI621177 B TW I621177B
Authority
TW
Taiwan
Prior art keywords
substrate
etching
etchant
plasma processing
processing system
Prior art date
Application number
TW105109945A
Other languages
English (en)
Other versions
TW201643958A (zh
Inventor
艾洛克 蘭傑
王明梅
索南 夏爾巴
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201643958A publication Critical patent/TW201643958A/zh
Application granted granted Critical
Publication of TWI621177B publication Critical patent/TWI621177B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K13/00Etching, surface-brightening or pickling compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種蝕刻基板上之層的方法,包括:將基板設置在用以促進蝕刻製程的電漿處理系統中;執行原子層蝕刻製程循環,以蝕刻基板的曝露表面之單分子層;及重複原子層蝕刻製程循環直到達到目標深度為止。每一製程循環自曝露表面蝕刻單分子層。原子層蝕刻製程循環依序包括:藉由導入蝕刻劑並同時將電磁電力耦合至電漿處理系統,其功率位準之目標為達到基板處的蝕刻劑自由基通量大於基板處的總離子通量,從而在基板的曝露表面上形成包含蝕刻劑之吸附單分子層,其中功率位準小於或等於50 W;清理電漿處理系統,以移除任何過量蝕刻劑;藉由將吸附單分子層曝露至氣體離子以活化蝕刻劑的反應,使吸附單分子層脫附;及再次清理電漿處理系統。

Description

原子層蝕刻方法
本發明涉及一種圖案化層的方法,尤其關於蝕刻層的方法。 〔相關案件交互參照〕
本案涉及並主張申請於2015年3月30日之美國臨時專利申請案第62/139795號的優先權,其全部內容於此併入作為參考。
為使半導體裝置生產之成本及效能維持競爭力之需求提高了對於積體電路裝置密度持續增加之要求。因此,為了在半導體積體電路微縮化的情況下達到更高的集積度,故需要穩健方法來縮小形成在半導體基板上的電路圖案尺寸。這些趨勢和需求將對電路圖案從一層轉移到另一層的能力帶來持續挑戰。
光微影是用來製造半導體積體電路的主要技術,其係將遮罩上的幾何形狀及圖案轉移至半導體晶圓的表面。原則上,將感光材料曝露至圖案化之光線,以改變其在顯影液中的溶解度。一旦經過成像及顯影,此部份的感光材料(其可溶於顯影化學物質)將被移除,因而留下電路圖案。
此外,為推進光微影技術及考量其不足,故持續發展建立替代性圖案化策略,以使半導體製造業具有次30奈米(sub-30 nm)技術節點的能力。光學微影(193i)結合多重圖案化、EUV(Extreme Ultraviolet,極紫外光)微影、及DSA(Direct Self Assembly,定向自組)圖案化被視為評估能滿足對於不斷上升之激進圖案化需求的一些有希望之候選技術。
證實能幫助半導體積體電路微縮化的另一範例微影技術為原子層蝕刻(ALE,Atomic Layer Etching)。在ALE中,用只與頂部原子層發生作用的蝕刻劑對欲蝕刻之基板進行化學處理。在清理步驟將過量蝕刻劑移除之後,蝕刻步驟將化學處理過之頂部原子層移除。重複此化學改質及蝕刻之循環,以便每次移除一單分子層,直到達到期望的蝕刻特徵部深度為止。一範例性製程為:使矽晶圓頂部層與氯反應,後接氬電漿移除該氯化頂部層。
連同先進的圖案化技術(包括光學及EUV微影技術),還需要先進的高選擇性蝕刻技術以轉移次30奈米特徵部。此外,還需要滿足輪廓控制、非等向性、及速率等等需求之先進蝕刻方案。
本發明之實施例涉及一種圖案化層的方法,尤其關於蝕刻層的方法。
根據一實施例,其描述了一種蝕刻基板上之層的方法。蝕刻基板上之層的方法包括:將基板設置在電漿處理系統中,該電漿處理系統係配置以促進蝕刻製程;執行原子層蝕刻製程循環,以蝕刻基板的曝露表面之單分子層;以及重複原子層蝕刻製程循環直到達到目標深度為止。每一製程循環自曝露表面蝕刻單分子層。原子層蝕刻製程循環依序包括:藉由導入蝕刻劑並同時將電磁電力耦合至電漿處理系統,且電磁電力之功率位準目標為達到在基板處的蝕刻劑自由基通量大於在基板處的總離子通量,從而在基板的曝露表面上形成包含蝕刻劑之吸附單分子層,其中該功率位準小於或等於50 W;清理電漿處理系統,以移除任何過量蝕刻劑;藉由將吸附單分子層曝露至氣體離子以活化蝕刻劑的反應,而使該吸附單分子層脫附;以及再次清理電漿處理系統。
在本發明之另一實施例中,蝕刻基板的方法包括:將基板設置在電漿處理系統中,該電漿處理系統係配置以促進蝕刻製程;以及於每一製程循環對基板的曝露表面蝕刻基板材料之一單分子層。每一製程循環包含交替執行吸附步驟及脫附步驟。吸附步驟包括:使蝕刻劑吸附在基板的曝露表面上,同時將功率位準小於或等於50 W的電磁電力耦合至電漿處理系統,以達到曝露表面處的蝕刻劑自由基通量大於曝露表面處的總離子通量;且脫附步驟包括:活化被吸附之蝕刻劑與基板材料之單分子層間的反應,以使反應產物脫附。
在以下敘述中,為了說明但非限制之目的,因而提出了許多具體細節,例如:處理系統的特定幾何結構、各種構件的描述、及其中所使用的製程。然而,應瞭解到可在偏離這些具體細節的其他實施例中實施本發明。
同樣地,為了說明之目的,因而提出了具體數目、材料、及配置,以提供對本發明的徹底瞭解。然而,本發明可在沒有這些具體細節的情況下實施。此外,應瞭解到圖式中所示之各種實施例為說明性圖示且未必按照比例繪製。
各個操作將以最能幫助瞭解本發明的方式被依序敘述成多個分離操作。然而,不應將敘述順序解釋成暗示著這些操作必須依照此順序。具體而言,這些操作不須按照敘述順序來執行。所述之操作可依不同於所述實施例的順序加以執行。可執行各種額外操作且/或所述之操作可在附加實施例中省略。
如本文所使用般,用語「輻射敏感性材料」包括(但不必限於)感光材料,例如光阻。
如於此所使用之「基板」一般是指依照本發明進行處理之物件。基板可包括一裝置(尤其是半導體或其他電子裝置)的任何材料部份或結構,並且可例如為一基底基板結構(例如:半導體晶圓,或在基底基板結構上的層或上覆於基底基板結構的層(如薄膜))。基板可為包含半導電性材料之層的習知矽基板或其他塊體基板(bulk substrate)。如本文所使用般,用語「塊體基板」不僅意指並包括矽晶圓,而且意指並包括絕緣層上矽(SOI,silicon-on-insulator)基板(例如:藍寶石上矽(SOS,silicon-on-sapphire)基板、及玻璃上矽(SOG,silicon-on-glass)基板)、基底半導體基礎上的矽磊晶層、及其他半導體或光電材料(例如:矽鍺、鍺、鎵砷化物、鎵氮化物、及銦磷化物)。基板可為摻雜或無摻雜。因此,不欲使基板限於任何特定的基底結構、下方層、或上覆層(無論圖案化或不圖案化),而是預期包括任何這類的層或基底結構、以及這些層及/或基底結構的任何組合。以下說明可能涉及特定類型的基板,但此僅為說明之目的,並非作為限制。
如以上所述,需要有先進的方法來應對這些挑戰,並且符合次30奈米技術節點之激進圖案化的需求。而且亦應注意這些方法存在其本身的一些挑戰,其顯現在如蝕刻選擇性、速率、及輪廓控制的問題上。將圖案化方案與高選擇性蝕刻製程成功整合之能力對於穩健的圖案轉移而言是最重要的。
舉例而言,一旦最初形成電路圖案,圖案化之材料便作為保護層,其遮蔽半導體基板的一些區域,而使其餘區域露出,以容許利用乾蝕刻製程(如電漿蝕刻製程)將電路圖案轉移至下方層。除了其他材料,圖案化之材料還可為利用光學微影進行圖案化之感光材料、以機械式壓印進行圖案化之層、或定向自組層(direct self-assembled layer)。為了在初始圖案化之層中製作更薄的特徵部,可實施多層式方案(如雙層遮罩或三層遮罩)。在包含第二或第三層的情況下,最上方的圖案化之層可能比習慣上選擇以抵抗後續乾蝕刻製程的厚度更薄。因而提升了對於後續乾蝕刻技術的要求。
在電漿蝕刻製程中,半導體基板係曝露至蝕刻化學物質,該蝕刻化學物質選擇性移除下方層而最低程度地移除保護層。此蝕刻化學物質係源自於可游離、分離性氣體混合物,該氣體混合物具有能與下方層進行有利反應而使與保護層之間的較不利反應降至最低的原子/分子成份。在電漿存在的情況下,蝕刻化學物質係經由其原子/分子成份與高能電子的互相作用(如碰撞)而形成,例如其中一些碰撞為分離性碰撞而其餘為游離化碰撞。經由複雜的機制,將電漿進行處理以使數個相關聯的蝕刻度量指標(包括蝕刻選擇性、蝕刻速率、及輪廓等等)達到可接受的值。又如以上所述,在圖案尺寸不斷縮小的情況下,相對另一材料而選擇性移除一材料、同時令人滿意地符合其他圖案度量指標(例如:粗糙度、缺陷度等等)的能力,對於穩健的圖案轉移而言,只會變得更為關鍵。值得注意的是後續乾蝕刻製程的蝕刻選擇性必須保留微影層,以使圖案能完全轉移至下方層。此外,雖然蝕刻選擇性是一重要考量,但圖案健全性(例如:粗糙度、缺陷度等等)亦必須維持,而且最好有所改善。
現在參考各圖式,其中同樣的參考數字表示多個視圖中的相同或對應部件,圖1、2A、及2B顯示根據實施例之用以蝕刻基板上之層的方法。此方法係繪示於圖2A及2B之中,並以圖1中之流程圖100的方式呈現。如圖1所示,流程圖100始於112,其中將一基板設置在用以促進蝕刻製程之電漿處理系統內。
基板可包括一圖案化之層,其具有待蝕刻或待圖案化之層。圖案化之層可定義一覆蓋在一或更多附加層上的開放特徵部圖案。基板更包括裝置層。裝置層可包括基板上的任何薄膜或結構,而圖案將被轉移至裝置層內。舉例而言,裝置層可包括含矽抗反射塗層(SiARC,silicon-containing antireflective coating)。
基板可包括:塊體矽基板、單晶矽(摻雜或無摻雜)基板、絕緣層上半導體(SOI)基板、或任何其他包含例如Si、SiC、SiGe、SiGeC、Ge、GaAs、InAs、InP與其他III/V族或II/VI族化合物半導體、或其任何組合之半導體基板(II、III、V、及VI族是指元素週期表中的標準或舊IUPAC記號;根據修訂或新IUPAC記號,這些族將分別指2、13、15、及16族)。基板可為任何尺寸,例如:200 mm(毫米)基板、300 mm基板、450 mm基板、或甚至更大的基板。裝置層可包括任何膜或裝置結構,而圖案可轉移至裝置層內。
在114中,吸附單分子層(其包含蝕刻劑)係藉由例如在第一脈衝期間導入蝕刻劑而形成在基板的曝露表面上。蝕刻劑可包括含鹵素氣體,如鹵素(例如:Cl2 、F2 、Br2 )、鹵化物(HBr)、鹵代甲烷、鹵代矽烷、氟碳化物、或氫氟碳化物等等(見圖2A、2B)。舉例而言,蝕刻劑可包括:Cl2 、Br2 、F2 、HBr、BCl3 、SF6 、NF3 、形式為CxFy的氟碳化合物(其中x和y皆為大於零的實數)、形式為CxFyHz的氫氟碳化合物(其中x、y、和z皆為大於零的實數)、或其中二或更多者之任何組合。
在115中,清理電漿處理系統以移除任何過量蝕刻劑。
在 116 中,吸附單分子層係藉由以下方式而脫附:例如在第二脈衝期間,將吸附單分子層曝露至氣體離子(即電漿),以使蝕刻劑反應活化。氣體離子之來源氣體可包括:鈍氣(如Ar、Ne、及/或He)或任何其他元素(如N、O、C、H等等)。第二脈衝期間可為3秒或更少。舉例而言,第二脈衝期間可為2秒或1秒或其任何小數部份。
氣體離子可藉由以下方式形成:使來源氣體流入電漿處理系統內,並且將電磁電力耦合至電漿處理系統作為耦合至基板固持器(基板係置於其上)的偏壓電力及作為耦合至電極(相對於基板固持器且面朝基板固持器)的來源電力,以激發並維持來源氣體電漿。這些氣體離子轟擊含有吸附單分子層之基板的曝露表面,氣體離子具有足夠的能量以活化曝露表面處之被吸附原子與基板材料原子之間的反應,而使反應產物脫附,從而移除單分子層。例如(但並非限制),偏壓電力可為大約150 W,而來源電力為大約2500 W。
在117中,再次清理電漿處理系統,而這次要將經過脫附之吸附單分子層移除。
在118中(在114中形成吸附單分子層的同時),於第一脈衝期間將電磁電力耦合至電漿處理系統,功率位準的目標為達到基板處之蝕刻劑自由基通量大於基板處之總離子通量。功率位準可小於或等於50 W。在一實施例中,功率位準的目標為使基板處之蝕刻劑自由基通量對總離子通量的比值超過100。在另一實施例中,功率位準的目標為使基板處之蝕刻劑自由基通量對總離子通量的比值超過1000。
可將118之中的電磁電力耦合至電漿處理系統,至少部份作為耦合至基板固持器(基板係置於其上)的偏壓電力。此外,可專獨施加電磁電力作為上述偏壓電力。可將額外的電磁電力耦合至電漿處理系統作為電極(相對於基板固持器且面朝基板固持器)的來源電力。或者,電磁電力專用電源可作為此相對電極上的來源電力。於形成114中之吸附單分子層期間,118中的電磁電力(無論是施加作為偏壓電力、來源電力、或此二者)明顯小於為形成116中之氣體離子所施加的電力(於單分子層脫附期間)。
在120中且如圖2A及2B所示,藉由交替重複114中之形成吸附單分子層及116中之使吸附單分子層脫附,每一製程循環皆對基板的曝露表面蝕刻一反應層(或單分子層),直到達到目標深度為止。依據本發明之實施例,114中之吸附步驟包括為達到使基板處之蝕刻劑自由基通量大於基板處之總離子通量的低EM電力;而116中之脫附步驟包括為形成電漿以使基板曝露至離子能量(其足以使反應活化並使反應產物脫附)的高EM電力。交替步驟可包括:氣體流量在用以產生離子的蝕刻劑氣體與來源氣體之間產生脈衝(或交替)。舉例而言,在圖2A及2B的說明中,Ar流量可在吸附步驟期間關閉、並且在脫附步驟期間產生脈衝,而非圖示之連續流量。或者,如圖示般,Ar氣體可在整個製程循環連續流入,以作為清理氣體及作為脫附步驟的來源氣體。連續流量可為同一流速,或可包括吸附期間的較低流速及脫附期間的較高流速。關於連續流量的情況,來源氣體在吸附步驟期間對於基板及蝕刻劑應為非反應性(如鈍氣),以使脫附反應僅在對來源及偏壓電力提供夠高位準的電源時被活化,從而在製程循環的脫附步驟中形成電漿。
舉例而言(但並非限制),製程循環的總數範圍可從1循環至100循環、或從10循環至90循環、或從20循環至80循環、或從30循環至70循環。在一些實施例中,蝕刻循環的總數可為50循環、或40循環、或30循環、或其間的任何整數循環。由於每一循環將自基板移除材料的一單分子層,故循環的重複次數係決定於表面所欲進行蝕刻的目標深度。可決定基板進行蝕刻的速率(即蝕刻速率)。在一些實施例中,蝕刻速率大於1 Å/秒。例如,蝕刻速率可為1.1 Å/秒、1.2 Å/秒、1.3 Å/秒、1.4 Å/秒、1.5 Å/秒、或甚至更大。在至少一實施例中,蝕刻速率超過1.3 Å/秒。
當施加的EM電力在一低電力條件下(例如:低於50 W、40 W、30 W、20 W、10 W等等)時,蝕刻劑的吸附作用非常快。 在不受理論束縛的情況下,本案發明人確認在此製程條件下的自由基通量大於離子通量(見圖3A),且吸附作用會以一升高速率發生,在某種程度上這是由於基板表面處相對於離子通量之此增高自由基通量。基板表面處之自由基通量對離子通量的比例可大於100、或甚至1000。此外,這些製程條件可產生低離子能(例如小於20 eV)、及相對窄的離子能角度分佈(例如小於5度)(見圖3B、3C)。
在整個製程期間,可對電漿處理系統施以真空。例如,在形成吸附單分子層及/或使吸附單分子層脫附時,可施以真空。在一些實施例中,電漿處理系統內的壓力可為100毫托耳(mTorr)或更小。例如,電漿處理系統內的壓力可為約60毫托耳或更小。
當蝕刻劑包括含鹵素材料時,待蝕刻表面的鹵化作用迅速發生,亦即小於2秒、或小於1秒、或甚至小於0.5秒(見圖4A-4E)。當然,鹵化作用可發生在以上所列時間值的任何小數部份。當吸附及脫附循環二者皆執行時,其蝕刻量明顯大於當僅執行吸附循環或僅執行脫附循環時的蝕刻量(見圖4F)。
表1提供一範例製程的參數,其結果係呈現在圖4E。壓力、溫度、流量速率及組成物、來源及偏壓電力、RDC等等皆可在選擇性範例中加以變化。RDC值是指用於導入蝕刻劑及反應物氣體的氣體流量分佈參數。在一些實施例中,氣體分配系統可包括中央氣體分佈區域及邊緣氣體分佈區域。RDC參數值表示分佈至中央及邊緣氣體分佈區域之氣體流量的相對量。當RDC=50時,接合至邊緣氣體分佈區域的氣體流量等於接合至中央氣體分佈區域的氣體流量,而當RDC=5時,95%氣體流量係接合至中央氣體分佈區域。RDC值並不限於本發明者,而且可加以變化,例如從5(5/95)到20(20/80)、或更大。 1 1 電極中央2 電極邊緣3 冷卻系統溫度4 吸附/脫附循環的總次數5 可變(例如見圖4E)
如以上所建議且如表1提供之範例所示,當自由基通量升高大於離子通量時,鹵化作用(吸附作用)迅速發生,亦即小於2秒內。在無意受任何特定理論束縛的情況下,相信隨著Cl自由基通量相對於離子通量的增加,表面將以遞增速率充滿SiCl而飽和(當蝕刻Si時)。此外,圖4E所呈現之資料顯示:即使在持續脫附氣體流量的情況下,脫附循環在一些條件下會先上升而後穩定,使得在一段時間後能發生最小的進一步蝕刻。舉例而言,在圖4E所呈現的結果中,蝕刻量在2秒脫附時間之後呈現高原部份。上述結果建議:此方法能使脫附氣體的消耗降到最低並使所需時間最佳化。換言之,脫附循環具有自限性。
根據上述各種實施例之蝕刻基板上之一層的方法可在圖5至11中所示並敘述如下之任一電漿處理系統中執行。然而,所討論之方法並不限於此範例性敘述的範圍。
根據圖5所示之實施例,電漿處理系統500係配置以執行以上所確認之製程條件,電漿處理系統500包括:電漿處理腔室510、基板固持器520(待處理之基板525係固定在其上)、及真空抽氣系統550。基板525可為半導體基板、晶圓、平板顯示器、或液晶顯示器。電漿處理腔室510可配置以促進電漿產生在電漿處理區域545中之基板525的表面附近。經由氣體分配系統540導入可游離之氣體或處理氣體混合物。對於一特定處理氣體流量,製程壓力係利用真空抽氣系統550進行調整。電漿可用以產生一預定材料製程所特有的材料,及/或幫助從基板525的曝露表面移除材料。電漿處理系統500可配置以處理任何期望尺寸的基板,例如:200 mm基板、300 mm基板、或更大的基板。
基板525可藉由夾持系統528(例如:機械式夾持系統或電子式夾持系統(如靜電夾持系統))而固定在基板固持器520。此外,基板固持器520可包括加熱系統(未顯示)或冷卻系統(未顯示),其係配置以調整及/或控制基板固持器520及基板525之溫度。加熱系統或冷卻系統可包含傳熱流體的再循環流動:當冷卻的情況時,該傳熱流體自基板固持器520接收熱量並將熱量轉移至熱交換系統(未顯示);或當加熱的情況時,該傳熱流體將熱量自熱交換系統轉移至基板固持器520。在其他實施例中,加熱/冷卻元件(如電阻式加熱元件、或熱電式加熱器/冷卻器)可包括在基板固持器520、電漿處理腔室510的腔室壁、以及電漿處理系統500內的任何其他構件之中。
此外,可經由背面氣體供應系統526將熱傳氣體遞送至基板525的背面,以改善基板525與基板固持器520間之氣隙的導熱性。當基板溫度控制需要升溫或降溫時,即可利用此類系統。例如,背面氣體供應系統可包含二區域氣體分配系統,其中氦氣隙壓力可在基板525中央及邊緣獨立變化。
在圖5所示之實施例中,基板固持器520可包含電極522,RF電力係經由電極522而耦合至電漿處理區域545中的處理電漿。例如,可經由RF電力傳輸將基板固持器520電性偏壓在一RF電壓下,該RF電力係由RF產生器530通過選擇性阻抗匹配網路532而傳輸至基板固持器520。此RF偏壓可用來對電子加熱,以形成並維持電漿。在此配置中,系統可操作為一反應性離子蝕刻(RIE,reactive ion etch)反應器,其中腔室及上部氣體注入電極作為接地面。此RF偏壓的典型頻率範圍可從約0.1 MHz至約100 MHz。用於電漿處理的各種RF系統皆已為人熟知而不再進一步討論。
此外,可利用脈衝偏壓信號控制器531產生脈衝而使電極522電性偏壓在一RF電壓。舉例而言,輸出自RF產生器530的RF電力可在關閉狀態與開啟狀態之間產生脈衝。
或者,以多個頻率將RF電力施加至基板固持器電極。此外,藉由降低反射功率,阻抗匹配網路532可改善電漿處理腔室510中轉移至電漿的RF電力。各種匹配網路拓樸結構(例如:L形、π形、T形等等)和自動控制方法皆已為人熟知且可與所揭露之系統一起使用。
氣體分配系統540可包含用以導入處理氣體混合物之噴淋頭設計。或者,氣體分配系統540可包含用以導入處理氣體混合物及調整基板525上方之處理氣體混合物的分佈之多區域噴淋頭設計。例如,多區域噴淋頭設計可配置以調整實質上在基板525上方之周邊區域的處理氣體流量或組成物相對於實質上在基板525上方之中央區域的處理氣體流量或組成物之數量。
真空抽氣系統550可包括渦輪分子真空泵(TMP,turbo-molecular vacuum pump)及用以調節腔室壓力的閘閥,該渦輪分子真空泵具有高達約5000公升/秒(且更高)的抽氣速度之能力。在習知用於乾電漿蝕刻之電漿處理裝置中,可採用1000公升/秒至3000公升/秒之TMP。TMP對於低壓處理(例如小於約50毫托耳)很有用。對於高壓處理(即大於約100毫托耳),可使用機械式升壓泵及乾粗抽泵。此外,可將用以監控腔室壓力之裝置(未顯示)耦合至電漿處理腔室510。
控制器555包含微處理器、記憶體、及數位I/O埠,其能產生足以與電漿處理系統500通信並使電漿處理系統500之輸入啟動的控制電壓,並且能監控來自電漿處理系統500的輸出。此外,控制器555可耦合至下列構件並與其交換資訊:RF產生器530、脈衝偏壓信號控制器531、阻抗匹配網路532、氣體分配系統540、及真空抽氣系統550、以及基板加熱/冷卻系統(未顯示)、背面氣體供應系統526、及/或靜電夾持系統528。舉例而言,可使用儲存在記憶體中的程式根據製程配方而使電漿處理系統500的前述構件之輸入啟動,以便在基板525上執行電漿輔助製程(如電漿蝕刻製程)。
控制器555可位在電漿處理系統500的本身或相對遠端。例如,控制器555可利用直接連接、內部網路、及/或網際網路而與電漿處理系統500交換資料。控制器555可在例如客戶端(即裝置製作者等等)耦合至內部網路,或其可在例如供應端(即設備製造商)耦合至內部網路。替代性地或額外地,控制器555可耦合至網際網路。此外,另一電腦(即控制器、伺服器等等)可經由直接連接、內部網路、及/或網際網路而存取控制器555以交換資料。
在圖6所示之實施例中,電漿處理系統600會類似於圖5之實施例,並且除了參考圖5所述的那些構件以外,還包含靜止或(機械式或電子式)旋轉磁場系統660,以潛在地增加電漿密度及/或改善電漿處理均勻性。此外,可將控制器555耦合至磁場系統660以調節旋轉速度及磁場強度。旋轉磁場的設計及實現方式皆已為人熟知而不再進一步討論。
在圖7所示之實施例中,電漿處理系統700會類似於圖5或圖6的實施例,並且可更包含上電極770,RF電力可由RF產生器772通過選擇性阻抗匹配網路774而耦合至上電極770。施加在上電極的RF電力頻率範圍可從約0.1 MHz至約200 MHz。此外,施加在下電極的電力頻率範圍可從約0.1 MHz至約100 MHz。此外,控制器555係耦合至RF產生器772及阻抗匹配網路774,以控制施加至上電極770的RF電力。上電極的設計及實現方式皆已為人熟知而不再進一步討論。上電極770及氣體分配系統540可設計在同一腔室組件內,如圖所示。或者,上電極770可包含多區域電極設計,其係用以調整耦合至基板525上方之電漿的RF電力分佈。例如,上電極770可區分為中央電極及邊緣電極。圖7所示之實施例能有利於用在例如蝕刻包括SiARC的裝置層。
在圖8所示之實施例中,電漿處理系統800會類似於圖7之實施例,並且可更包含耦合至上電極770(相對於基板525)的直流(DC,direct current)電源供應器890。上電極770可包含電極板。電極板可包括含矽電極板。此外,電極板可包括摻雜矽電極板。DC電源供應器890可包括一可變DC電源供應器。此外,DC電源供應器890可包括雙極DC電源供應器。DC電源供應器890可更包括配置以執行下列其中至少一者之系統:監控、調整、或控制DC電源供應器890之極性、電流、電壓、或開啟/關閉狀態。一旦電漿形成後,DC電源供應器890便促進彈道電子束的形成。可利用電子濾波器(未顯示)解耦來自DC電源供應器890的RF電力。
例如,由DC電源供應器890施加在上電極770的DC電壓範圍可從約-2000伏特(V)至約1000 V。例如,DC電壓的絕對值可為等於或大於約100 V的值,或DC電壓的絕對值可為等於或大於約500 V的值。如以上所述,DC電壓可為負極性。例如,DC電壓可為負電壓,其絕對值大於產生在上電極770的表面上之自偏壓電壓。上電極770的表面(面朝基板固持器520)可由含矽材料所組成。
在圖9所示之實施例中,電漿處理系統900會類似於圖5及6的實施例,並且可更包含感應線圈980,RF電力係由RF產生器982通過選擇性阻抗匹配網路984而耦合至感應線圈980。RF電力係由感應線圈980通過介電窗(未顯示)而感應耦合至電漿處理區域545。施加至感應線圈980的RF電力頻率範圍可從約10 MHz至約100 MHz。同樣地,施加至夾具電極的電力頻率範圍可從約0.1 MHz至約100 MHz。此外,可採用槽孔式法拉第屏蔽(未顯示)以減少電漿處理區域545中之感應線圈980與電漿之間的電容耦合。此外,可將控制器555耦合至RF產生器982及阻抗匹配網路984,以控制施加至感應線圈980的電力。
在一替代實施例中,如圖10所示,電漿處理系統1000會類似於圖9的實施例,並且可更包含從上方與電漿處理區域545相通之感應線圈1080(其為「螺旋(spiral)」線圈或「平繞(pancake)」線圈),如同變壓器耦合電漿(TCP,transformer coupled plasma)反應器。感應耦合電漿(ICP,inductively coupled plasma)源或變壓器耦合電漿(TCP)源的設計及實現方式係為人熟知而不再進一步討論。
或者,可使用電子迴旋加速共振器(ECR,electron cyclotron resonance)來形成電漿。在又另一實施例中,電漿係由螺旋波(Helicon wave)的發射所形成。 在 又另一 實施例 中,電漿係由傳導表面波所形成。各電漿源係為人熟知而不再進一步討論。
在圖11所示之實施例中,電漿處理系統1100會類似於圖5的實施例,並且可更包含表面波電漿(SWP,surface wave plasma)源1180。SWP源1180可包含槽孔天線(例如輻射線槽孔天線),微波電力係由微波產生器1182通過選擇性阻抗匹配網路1184而耦合至槽孔天線。
以上雖然僅就本發明的一些實施例加以詳述,惟本領域中具有通常知識者將立刻瞭解到在實質上不偏離本發明之新穎教示及優點的情況下,能在實施例中做許多修改。因此,欲將這類的所有修改皆包括在本發明之範圍內。
100‧‧‧流程圖
112‧‧‧步驟
114‧‧‧步驟
115‧‧‧步驟
116‧‧‧步驟
117‧‧‧步驟
118‧‧‧步驟
120‧‧‧步驟
500‧‧‧電漿處理系統
510‧‧‧電漿處理腔室
520‧‧‧基板固持器
522‧‧‧電極
525‧‧‧基板
526‧‧‧背面氣體供應系統
528‧‧‧夾持系統
530‧‧‧RF產生器
531‧‧‧脈衝偏壓信號控制器
532‧‧‧阻抗匹配網路
540‧‧‧氣體分配系統
545‧‧‧電漿處理區域
550‧‧‧真空抽氣系統
555‧‧‧控制器
600‧‧‧電漿處理系統
660‧‧‧磁場系統
700‧‧‧電漿處理系統
770‧‧‧上電極
772‧‧‧RF產生器
774‧‧‧阻抗匹配網路
800‧‧‧電漿處理系統
890‧‧‧DC電源供應器
900‧‧‧電漿處理系統
980‧‧‧感應線圈
982‧‧‧RF產生器
984‧‧‧阻抗匹配網路
1000‧‧‧電漿處理系統
1080‧‧‧感應線圈
1100‧‧‧電漿處理系統
1180‧‧‧SWP源
1182‧‧‧微波產生器
1184‧‧‧阻抗匹配網路
在隨附圖式中:
圖1提供一流程圖,其繪示根據一實施例之蝕刻基板上之層的方法;
圖2A及2B顯示蝕刻基板上之層的方法;
圖3A至3C提供根據一實施例之蝕刻基板上之層的範例資料;
圖4A至4F提供根據各種實施例之蝕刻基板上之層的範例資料;
圖5顯示根據一實施例之電漿處理系統示意圖;
圖6顯示根據另一實施例之電漿處理系統示意圖;
圖7顯示根據另一實施例之電漿處理系統示意圖;
圖8顯示根據另一實施例之電漿處理系統示意圖;
圖9顯示根據另一實施例之電漿處理系統示意圖;
圖10顯示根據另一實施例之電漿處理系統示意圖;以及
圖11顯示根據另一實施例之電漿處理系統示意圖。

Claims (20)

  1. 一種蝕刻基板的方法,該方法包含:將該基板設置在電漿處理系統中,該電漿處理系統係配置以促進蝕刻製程;執行原子層蝕刻製程循環,以蝕刻該基板的曝露表面之單分子層,該製程循環依序包含:藉由導入蝕刻劑並同時將電磁電力耦合至該電漿處理系統,且該電磁電力之所施加的功率位準之目標為達到在該曝露表面處的蝕刻劑自由基通量大於在該曝露表面處的總離子通量,從而在該基板的該曝露表面上形成包含該蝕刻劑之吸附單分子層,清理該電漿處理系統,以移除任何過量蝕刻劑,藉由將該吸附單分子層曝露至氣體離子以活化該蝕刻劑的反應,而使包含該蝕刻劑之該吸附單分子層脫附,及清理該電漿處理系統,以移除經脫附之該吸附單分子層;以及重複該原子層蝕刻製程循環直到達到目標蝕刻深度為止,其中每一製程循環自該曝露表面蝕刻該單分子層,其中所施加的功率位準小於或等於50W。
  2. 如申請專利範圍第1項之蝕刻基板的方法,其中所施加的功率位準小於或等於10W。
  3. 如申請專利範圍第1項之蝕刻基板的方法,其中該電磁電力係耦合至該電漿處理系統,至少部份作為耦合至基板固持器的偏壓電力,該基板係置於該基板固持器上。
  4. 如申請專利範圍第3項之蝕刻基板的方法,其中該電磁電力係專獨施加作為該基板固持器的偏壓電力。
  5. 如申請專利範圍第3項之蝕刻基板的方法,其中額外電磁電力係耦合至該電漿處理系統作為電極的來源電力,該電極係相對於該基板固持器且面朝該基板固持器。
  6. 如申請專利範圍第1項之蝕刻基板的方法,其中該電磁電力係耦合至該電漿處理系統,至少部份作為耦合至電極的來源電力,該電極係相對於基板固持器且面朝該基板固持器,該基板係置於該基板固持器上。
  7. 如申請專利範圍第6項之蝕刻基板的方法,其中該電磁電力係專獨施加作為相對於該基板固持器之該電極的來源電力。
  8. 如申請專利範圍第1項之蝕刻基板的方法,其中該蝕刻劑包括鹵素元素。
  9. 如申請專利範圍第8項之蝕刻基板的方法,其中該蝕刻劑包括:鹵化物、鹵代甲烷、鹵代矽烷、或其中二或更多者之組合。
  10. 如申請專利範圍第8項之蝕刻基板的方法,其中該蝕刻劑包括:Cl2、Br2、F2、HBr、BCl3、SF6、NF3、形式為CxFy的氟碳化合物、形式為CxFyHz的氫氟碳化合物、或其中二或更多者之任何組合;其中CxFy中的x和y為大於零的實數,且CxFyHz中的x、y、和z為大於零的實數。
  11. 如申請專利範圍第1項之蝕刻基板的方法,其中所施加的功率位準之目標為達到在該基板處之該蝕刻劑自由基通量對該總離子通量的比值超過100。
  12. 如申請專利範圍第1項之蝕刻基板的方法,其中所施加的功率位準之目標為達到在該基板處之該蝕刻劑自由基通量對該總離子通量的比值超過1000。
  13. 如申請專利範圍第1項之蝕刻基板的方法,其中形成該吸附單分子層的步驟於每一製程循環進行小於或等於2秒。
  14. 如申請專利範圍第1項之蝕刻基板的方法,其中形成該吸附單分子層的步驟於每一製程循環進行小於或等於0.5秒。
  15. 如申請專利範圍第1項之蝕刻基板的方法,其中該基板的該曝露表面包括矽、該蝕刻劑包括Cl2、且該氣體離子包括Ar離子。
  16. 一種蝕刻基板的方法,該方法包含:將該基板設置在電漿處理系統中,該電漿處理系統係配置以促進蝕刻製程;於每一製程循環對該基板的曝露表面蝕刻基板材料之單分子層,其中每一製程循環包含交替執行吸附步驟及脫附步驟,其中該吸附步驟包括:使蝕刻劑吸附在該基板的該曝露表面上,同時將所施加的功率位準小於或等於50W的電磁電力耦合至該電漿處理系統,以達到該曝露表面處的蝕刻劑自由基通量大於該曝露表面處的總離子通量;且其中該脫附步驟包括:活化被吸附之該蝕刻劑與該基板材料之單分子層間的反應,以使反應產物脫附。
  17. 如申請專利範圍第16項之蝕刻基板的方法,其中該脫附步驟包括:將電磁電力耦合至該電漿處理系統作為耦合至基板固持器的偏壓電力且作為耦合至電極的來源電力,其中該基板係置於該基板固持器上,而該電極係相對於該基板固持器且面朝該基板固持器;同時使鈍氣流入該電漿處理系統,以形成具有足以活化該反應之能量的氣體離子。
  18. 如申請專利範圍第16項之蝕刻基板的方法,更包含:在該吸附步驟與該脫附步驟之間清理該電漿處理系統,以及在該脫附步驟之後清理該電漿處理系統。
  19. 如申請專利範圍第16項之蝕刻基板的方法,其中所施加的功率位準小於或等於20W。
  20. 如申請專利範圍第16項之蝕刻基板的方法,其中調整所施加的功率位準以達到在該基板處之該蝕刻劑自由基通量對該總離子通量的比值超過100。
TW105109945A 2015-03-30 2016-03-30 原子層蝕刻方法 TWI621177B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562139795P 2015-03-30 2015-03-30
US62/139,795 2015-03-30

Publications (2)

Publication Number Publication Date
TW201643958A TW201643958A (zh) 2016-12-16
TWI621177B true TWI621177B (zh) 2018-04-11

Family

ID=55745829

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105109945A TWI621177B (zh) 2015-03-30 2016-03-30 原子層蝕刻方法

Country Status (7)

Country Link
US (1) US9881807B2 (zh)
JP (1) JP6532066B2 (zh)
KR (1) KR102510737B1 (zh)
CN (1) CN107431011B (zh)
SG (1) SG11201707998TA (zh)
TW (1) TWI621177B (zh)
WO (1) WO2016160778A1 (zh)

Families Citing this family (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
KR102292077B1 (ko) * 2016-12-09 2021-08-23 에이에스엠 아이피 홀딩 비.브이. 열적 원자층 식각 공정
US10566212B2 (en) * 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10283319B2 (en) 2016-12-22 2019-05-07 Asm Ip Holding B.V. Atomic layer etching processes
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10134600B2 (en) * 2017-02-06 2018-11-20 Lam Research Corporation Dielectric contact etch
US9779956B1 (en) * 2017-02-06 2017-10-03 Lam Research Corporation Hydrogen activated atomic layer etching
US11469079B2 (en) * 2017-03-14 2022-10-11 Lam Research Corporation Ultrahigh selective nitride etch to form FinFET devices
US10483118B2 (en) * 2017-05-11 2019-11-19 Tokyo Electron Limited Etching method
US10504742B2 (en) * 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
JP6987172B2 (ja) * 2017-11-28 2021-12-22 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP2019102483A (ja) * 2017-11-28 2019-06-24 東京エレクトロン株式会社 エッチング方法およびエッチング装置
CN111937122A (zh) 2018-03-30 2020-11-13 朗姆研究公司 难熔金属和其他高表面结合能材料的原子层蚀刻和平滑化
WO2019199922A1 (en) * 2018-04-13 2019-10-17 Mattson Technology, Inc. Processing of workpieces with reactive species generated using alkyl halide
GB201810387D0 (en) * 2018-06-25 2018-08-08 Spts Technologies Ltd Method of plasma etching
US10847375B2 (en) * 2018-06-26 2020-11-24 Lam Research Corporation Selective atomic layer etching
US10720337B2 (en) * 2018-07-20 2020-07-21 Asm Ip Holding B.V. Pre-cleaning for etching of dielectric materials
JP2021019201A (ja) 2019-07-18 2021-02-15 エーエスエム アイピー ホールディング ビー.ブイ. 半導体処理システム用シャワーヘッドデバイス
US11043362B2 (en) * 2019-09-17 2021-06-22 Tokyo Electron Limited Plasma processing apparatuses including multiple electron sources
JP7114554B2 (ja) * 2019-11-22 2022-08-08 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11574813B2 (en) 2019-12-10 2023-02-07 Asm Ip Holding B.V. Atomic layer etching
CN111370308B (zh) * 2020-02-18 2023-03-21 中国科学院微电子研究所 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备
JP7394665B2 (ja) * 2020-03-11 2023-12-08 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US20210408235A1 (en) * 2020-06-25 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with silicide gate fill structure
CN112366135A (zh) * 2020-10-26 2021-02-12 北京北方华创微电子装备有限公司 一种硅原子层刻蚀方法
JP2022122425A (ja) * 2021-02-10 2022-08-23 東京エレクトロン株式会社 プラズマ処理装置及び監視装置
KR102654170B1 (ko) * 2021-02-17 2024-04-04 대전대학교 산학협력단 액상 전구체를 이용한 원자층 식각 방법
US20220301887A1 (en) * 2021-03-16 2022-09-22 Applied Materials, Inc. Ruthenium etching process
US11664195B1 (en) 2021-11-11 2023-05-30 Velvetch Llc DC plasma control for electron enhanced material processing
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20140113457A1 (en) * 2010-04-15 2014-04-24 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20150083582A1 (en) * 2010-08-04 2015-03-26 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR101080604B1 (ko) * 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
KR101380835B1 (ko) * 2011-07-22 2014-04-04 성균관대학교산학협력단 그래핀의 원자층 식각 방법
US20130084707A1 (en) 2011-09-30 2013-04-04 Tokyo Electron Limited Dry cleaning method for recovering etch process condition
CN103117216B (zh) * 2011-11-17 2015-08-05 中芯国际集成电路制造(上海)有限公司 避免浅沟槽隔离结构产生缺角的半导体器件的制作方法
US9330899B2 (en) * 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20140113457A1 (en) * 2010-04-15 2014-04-24 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US20150083582A1 (en) * 2010-08-04 2015-03-26 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor

Also Published As

Publication number Publication date
US20160293432A1 (en) 2016-10-06
WO2016160778A1 (en) 2016-10-06
CN107431011A (zh) 2017-12-01
SG11201707998TA (en) 2017-10-30
KR20180036646A (ko) 2018-04-09
TW201643958A (zh) 2016-12-16
JP6532066B2 (ja) 2019-06-19
KR102510737B1 (ko) 2023-03-15
CN107431011B (zh) 2021-08-24
US9881807B2 (en) 2018-01-30
JP2018510515A (ja) 2018-04-12

Similar Documents

Publication Publication Date Title
TWI621177B (zh) 原子層蝕刻方法
TWI620246B (zh) 於抗反射塗佈層蝕刻期間使用氫以改良粗糙度及提升選擇性的方法
TWI743072B (zh) 蝕刻方法及蝕刻裝置
JP6280030B2 (ja) 多層マスクのパターン限界寸法及びインテグリティを制御するためのエッチングプロセス
TWI514462B (zh) 氮化矽膜中之特徵部的蝕刻方法
JP6175570B2 (ja) ガスパルスを用いる深掘りシリコンエッチングのための方法
JP5349066B2 (ja) Cdバイアスの減少したシリコン含有反射防止コーティング層のエッチング方法
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
WO2016131061A1 (en) Method for roughness improvement and selectivity enhancement during arc layer etch
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
TWI514516B (zh) 保護外露式低k表面的方法
JP2004336029A (ja) 電界効果トランジスタのゲート構造の製造方法
KR20140068131A (ko) 에칭 프로세스 조건을 복구하기 위한 건식 세정 방법
TW201937545A (zh) 用以增強微影用表面黏著性的電漿處理方法
KR102412439B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
KR102448699B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법
KR20180120118A (ko) 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법
KR20230008099A (ko) 레지스트 기저층 도포를 위한 탄화규소 필름의 건식 에칭 방법
JPH1167730A (ja) 半導体装置の製造方法