KR20180120118A - 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법 - Google Patents

유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법 Download PDF

Info

Publication number
KR20180120118A
KR20180120118A KR1020180048637A KR20180048637A KR20180120118A KR 20180120118 A KR20180120118 A KR 20180120118A KR 1020180048637 A KR1020180048637 A KR 1020180048637A KR 20180048637 A KR20180048637 A KR 20180048637A KR 20180120118 A KR20180120118 A KR 20180120118A
Authority
KR
South Korea
Prior art keywords
process gas
chemical mixture
plasma
substrate
exposing
Prior art date
Application number
KR1020180048637A
Other languages
English (en)
Other versions
KR102638422B1 (ko
Inventor
비나약 라스토기
알록 란잔
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180120118A publication Critical patent/KR20180120118A/ko
Application granted granted Critical
Publication of KR102638422B1 publication Critical patent/KR102638422B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

에칭 방법이 설명된다. 방법은, 유기 재료를 함유하는 제 1 재료 및 제 1 재료와는 상이한 제 2 재료를 갖는 기판을 제공하는 단계, 불활성 가스를 함유하는 제 1 프로세스 가스의 플라즈마 여기에 의해 제 1 화학 혼합물을 형성하는 단계, 및 기판 상의 제 1 재료를 제 1 화학 혼합물에 노출시키는 단계를 포함한다. 그 후, 방법은, S 및 O, 선택적으로 비활성 엘리먼트를 함유하거나 C 및 O, 선택적으로 비활성 엘리먼트를 함유하는 제 2 프로세스 가스의 플라즈마 여기에 의해 제 2 화학 혼합물을 형성하는 단계, 및 기판 상의 제 1 재료를 제 2 플라즈마 여기 프로세스 가스에 노출시켜 제 2 재료에 대해 제 1 재료를 선택적으로 에칭하는 단계를 포함한다.

Description

유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법{METHOD OF CYCLIC PLASMA ETCHING OF ORGANIC FILM USING SULFUR AND/OR CARBON-BASED CHEMISTRY}
본 출원은 다음의 공동 계류 중인 가출원들에 우선권을 주장한다: 그 전체가 참조로서 본원에 포함되는, “유황계(sulfur-based) 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법”으로 명칭되고 2017년 4월 26에 출원된 미국 특허 가출원 제 62/490,504 호 및 “탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법”으로 명칭되고 2017년 4월 26에 출원된 미국 특허 가출원 제 62/490,512 호.
본 발명은 에칭을 위한 방법, 더 구체적으로 전자 디바이스 애플리케이션들용 박막을 에칭하기 위한 정밀 에칭 기술에 관한 것이다.
본 발명은 집적 회로, 트랜지스터들 및 집적 회로용 트랜지스터 컴포넌트들과 같은 반도체 디바이스를 제조하는 방법에 관한 것이다. 반도체 디바이스의 제조시에(특히 미세 스케일일 때), 막형성 퇴적들, 에칭 마스크 생성, 패터닝, 재료 에칭 및 제거, 및 도핑 처리들과 같은 다양한 제조 프로세스들이 실행되고, 기판 상에 원하는 반도체 디바이스 엘리먼트들을 형성하기 위해 반복적으로 수행된다. 역사적으로, 마이크로제조를 이용하여, 트랜지스터들은 하나의 평면 내에 그 위에 형성되는 배선/금속으로 생성되고, 따라서 2차원(two-dimensional; 2D) 회로들 또는 2D 제조로 특징된다. 스케일링 노력들이 2D 회로들에서의 단위 면적당 트랜지스터들의 수를 크게 증가시켰지만, 스케일링이 한 자리 수 나노미터 반도체 디바이스 제조 노드들로 들어감에 따라 스케일링 노력들이 더 큰 도전과제들과 마주하고 있다. 반도체 디바이스 제조자들은, 트랜지스터들이 다층식으로 적층되는 3차원(three-dimensional; 3D) 반도체 디바이스들에 대한 요구를 표명해왔다.
디바이스 구조물들이 고밀도화되고 수직적으로 개발됨에 따라 정밀 재료 에칭에 대한 필요성이 점점 더 강해지고 있다. 선택비(selectivity), 프로파일, ARDE(aspect ratio dependent etching, 애스팩트비 의존 에칭), 및 플라즈마 에칭 프로세서들에서의 균일성간의 상충점(trade-off)들이 관리하기 점점 어려워지고 있다. 이들 상충점들의 균형을 맞추는 것에 의한 패터닝 및 패턴 전사를 위한 현재 접근법들 지속가능하지 않다. 이들 상충점들에 대한 근본 원인은 이온 에너지, 이온 플럭스 및 라디칼 플럭스를 독립적으로 제어할 수 없다는데 있다. 그러나, 원자 층 에칭(atomic layer etching; ALE)과 같은 자가 제한(self-limiting) 프로세스들은, 에칭 프로세스를 표면 개질(modification)과 개질된 표면 영역들의 제거의 순차적 단계들로 분리함으로써 이들 상충점들을 피하기 위한 실행가능한 루트를 제공하므로, 라디칼 플럭스, 이온 플럭스 및 에너지의 역할들의 분리를 가능하게 한다.
본원의 기술들은 정밀 에칭 기술들을 사용하는 디바이스 제조에 관한 것이다.
에칭 방법이 설명된다. 방법은, 유기 재료를 함유하는 제 1 재료 및 제 1 재료와는 상이한 제 2 재료를 갖는 기판을 제공하는 단계, 불활성(inert) 가스를 함유하는 제 1 프로세스 가스의 플라즈마 여기에 의해 제 1 화학 혼합물을 형성하는 단계, 및 기판 상의 제 1 재료를 제 1 화학 혼합물에 노출시키는 단계를 포함한다. 그 후, 방법은, S 및 O, 선택적으로 비활성(noble) 엘리먼트를 함유하거나 C 및 O, 선택적으로 비활성 엘리먼트를 함유하는 제 2 프로세스 가스의 플라즈마 여기에 의해 제 2 화학 혼합물을 형성하는 단계, 및 기판 상의 제 1 재료를 제 2 플라즈마 여기 프로세스 가스에 노출시켜 제 2 재료에 대해 제 1 재료를 선택적으로 에칭하는 단계를 포함한다. 일 실시예에서, 제 1 프로세스 가스는 COS를 포함한다. 다른 실시예에서, 제 2 프로세스 가스는 CO, CO2, 또는 SO2를 포함한다.
물론, 명확성을 위해, 본원에서 설명되는 바와 같은 상이한 스텝들의 논의의 순서가 제시된다. 일반적으로, 이 스텝들은 임의의 적절한 순서로 수행될 수 있다. 추가적으로, 본원의 상이한 피처들, 기술들, 구성들 등 각각이 본 개시의 상이한 개소들에서 논의될 수 있지만, 개념들 각각이 서로 독립적으로 또는 서로 조합하여 실행될 수 있다는 점이 의도된다. 따라서, 본 발명은 많은 상이한 방식들로 구현되고 보여질 수 있다.
이 발명의 내용 부분이 본 개시 또는 청구된 발명의 모든 실시예 및/또는 점증적으로 신규한 양태를 특정하지 않는다는 점을 유념한다. 대신, 이 발명의 내용은 종래의 기술들에 대해 상이한 실시예들 및 대응하는 포인트들의 신규성의 예비적 논의만을 제공한다. 본 발명 및 실시예들의 추가적인 상세사항들 및/또는 가능한 관점들에 대해, 읽는이는 아래에서 더욱 논의되는 바와 같은 본 개시의 상세한 설명 부분 및 대응하는 도면들로 안내된다.
첨부된 도면들에서,
도 1은 실시예에 따른 기판 상의 박막을 에칭하는 방법의 개략적 표현을 예시하고,
도 2는 실시예에 따른 기판을 에칭하는 방법을 예시하는 흐름도를 제공하며,
도 3의 A 내지 도 3의 D는 다양한 실시예들에 따른 에칭 방법을 수행하기 위한 플라즈마 프로세싱 시스템들의 개략적인 예시들을 제공한다.
본원의 기술들은 정밀 에칭 기술들을 사용하는 디바이스 제조에 관한 것이다. FEOL(front end of line, 예를 들어 트랜지스터 제조)부터 BEOL(back end of line, 예를 들어 상호연결부 제조)까지의 반도체 제조시에 여러 사례들이 나타나며, 산화물막 및 질화물막(사실상, 일반적으로 실리콘 함유)은 고도의 정밀도로 에칭될 필요가 있다.
반도체 제조시의 수많은 제조 시퀀들은 2D(2차원) 디바이스 구조물 및 3D(3차원) 디바이스 구조물 둘 다에 대해 정밀 에칭 기술들을 요한다. 여전히, [예를 들어, 포토레지스트, 반사 방지 코팅(anti-reflective coatings; ARC), 유기 탄소막들을 포함하는] 3층 에칭 마스크들은 기저층에 대한 패터닝 마스크들의 워크호스로서 역할한다. 현재의 도전과제들은, 로딩 아티팩트(loading artifact)들이 없는 패턴 전사, ARC 층에 대해 지대한(immense) 선택비를 갖는 정사각 바닥부 및 수직 측벽 프로파일을 획득하는 것이다. 연속적 플라즈마 에칭 프로세스는 그러한 도전과제들에 대한 해결책을 제시하지 못한다.
다양한 실시예들에 따르면, 유기 탄소막을 에칭하기 위한 유황계 화학물 및 탄소계 화학물을 포함하는 화학물들을 사용하는 정밀 주기적 플라즈마 에칭 기술들이 설명된다. 유황계 화학물에 대해, 기체(gaseous) 화학물들은, 실리콘 함유 ARC 층들을 포함하여 다양한 층들을 마스크로서 사용하여 유기 탄소막을 에칭하기 위한 S 및 O(예를 들어, COS/O2/Ar 가스 혼합물, 또는 SO2/O2/Ar 가스 혼합물)를 포함한다. 탄소계 화학물에 대해, 기체 화학물들은, 실리콘 함유 ARC 층들을 포함하여 다양한 층들을 마스크로서 사용하여 유기 탄소막을 에칭하기 위한 C 및 O(예를 들어, CO/O2/Ar 가스 혼합물, 또는 CO2/O2/Ar 가스 혼합물)를 포함한다.
여러 실시예들에 따라, 도 1 및 도 2는 박막을 에칭하는 방법을 예시한다. 흐름도(200)로서 도시된 방법은, 유기 재료를 함유하는 제 1 재료(100) 및 제 1 재료(100)와는 상이한 제 2 재료(110)를 갖는 기판을 제공하는 단계, 불활성 가스를 함유하는 제 1 프로세스 가스의 플라즈마 여기에 의해 제 1 화학 혼합물을 형성하는 단계[단계(210)], 및 기판 상의 제 1 재료를 제 1 화학 혼합물에 노출시키는 단계[단계(220)]를 포함하고, 이 조합은 도 1에 도면부호 102로 도시된다. 도면부호 102 동안, 제 1 재료(100)의 노출된 표면들이 개질되어 제 1 화학 혼합물에 노출시키는 동안 제 1 재료(100) 내에 개질된 서브층(112)을 형성한다. 예를 들어, 본 발명자들은 이 국면의 에칭 주기 동안 탄소-탄소 결합들이 파괴될 수 있다고 추정한다.
그 후, 방법은, S 및 O, 선택적으로 비활성 엘리먼트를 함유하는 제 2 프로세스 가스의 플라즈마 여기에 의해 제 2 화학 혼합물을 형성하는 단계[단계(230)], 및 기판 상의 제 1 재료(100)를 제 2 플라즈마 여기 프로세스 가스에 노출시켜 제 2 재료에 대해 제 1 재료(100)를 선택적으로 에칭하는 단계[단계(240)]를 포함하고, 이 조합은 도 1에 도면부호 104로 도시된다. 도면부호 104 동안, 제 1 재료 내의 개질된 서브층(112)이 제 2 화학 혼합물에 노출시키는 동안 제거되거나 에칭된다. 또한, 도면부호 104 동안, 제 2 화학 혼합물에 노출시키는 동안 제 2 재료(110) 위에 보호층(114)이 형성된다. 예를 들어, 본 발명자들은, 개질된 탄소층이 O2, COS 또는 SO2 가스의 해리를 통해 얻어진 O* 라디칼들을 사용하는 탈착(desorption) 국면 동안 제거된다고 추정한다. S* 라디칼들은 제 2 재료(110)의 측벽 표면 상에 C 원자들과의 복합체를 형성하여 CxSy 함유 보호층을 제공하여 탈착 국면 동안의 측벽 소모를 회피할 수 있다. 추가적으로, CxSy 함유 보호층은 극히 높은 선택비를 위해 ARC 마스크 보호를 제공할 수 있다. 대안적으로, 방법은, C 및 O, 선택적으로 비활성 엘리먼트를 함유하는 제 2 프로세스 가스의 플라즈마 여기에 의해 제 2 화학 혼합물을 형성하는 단계[단계(230)], 및 기판 상의 제 1 재료(100)를 제 2 플라즈마 여기 프로세스 가스에 노출시켜 제 2 재료에 대해 제 1 재료(100)를 선택적으로 에칭하는 단계[단계(240)]를 포함하고, 이 조합은 도 1에 도면부호 104로 도시된다.
또한, 방법은, 불활성 가스를 함유하는 제 1 프로세스 가스의 플라즈마 여기에 의해 제 1 화학 혼합물을 형성하는 단계, 및 기판 상의 제 1 재료를 제 1 화학 혼합물에 노출시키는 단계를 포함할 수 있고, 이 조합은 도 1에 도면부호 106으로 도시된다. 도면부호 106 동안, 제 1 재료(100)의 노출된 표면들이 개질되어 제 1 화학 혼합물에 노출시키는 동안 제 1 재료(100) 내에 또 하나의 개질된 서브층(116)을 형성한다.
에칭될 제 1 재료(100)는 유기 재료를 함유하거나, 유기 재료로 본질적으로 이루어지거나 유기 재료로 이루어진다. 유기 재료는 하드 마스크, 소프트 마스크, 또는 평탄층을 포함할 수 있다. 제 1 재료는 비정질 탄소와 같은 탄소 함유 마스크를 포함할 수 있다. 제 1 재료는 기상 증착 프로세스들 또는 스핀 온 퇴적 프로세스들을 사용하여 퇴적될 수 있다.
제 2 재료(110)는 단일층, 또는 다중층 스택을 포함할 수 있다. 제 2 재료(110)는 도 1에 도시된 바와 같이 패터닝될 수 있다. 제 2 재료는 무기 재료를 포함할 수 있다. 제 2 재료는 Si, Ge, 또는 금속(M), 및 선택적으로 O, N, C, F, Cl, Br, 및 S로 이루어지는 그룹으로부터 선택되는 하나 이상의 엘리먼트를 포함할 수 있다. 제 2 재료는 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 금속, 금속 산화물, 금속 질화물, 금속 탄화물, 또는 금속 합금, 또는 이들의 조합들을 포함할 수 있다. 제 2 재료는 Si 함유 반사 방지 코팅(ARC) 및 실리콘 산화물(예를 들어, Si 함량은 20%보다 작거나 40%보다 클 수 있음)을 포함할 수 있다. 제 2 재료는 기상 증착 프로세스들 또는 스핀 온 퇴적 프로세스들을 사용하여 퇴적될 수 있다.
위에서 제시된 바와 같이, 제 1 화학 혼합물은 제 1 프로세스 가스의 플라즈마 여기로부터 형성된다. 제 1 프로세스 가스는 비활성 가스와 같은 불활성 가스를 함유한다. 일 실시예에서, 제 1 프로세스 가스는 Ar을 포함한다. 다른 실시예에서, 제 1 프로세스 가스는 Ar로 본질적으로 이루어지거나 Ar로 이루어진다.
위에서 제시된 바와 같이, 제 2 화학 혼합물은 제 2 프로세스 가스의 플라즈마 여기로부터 형성된다. 제 2 프로세스 가스는 유황(S) 및 산소(O)를 함유할 수 있고, 선택적으로 Ar(아르곤)과 같은 비활성 엘리먼트를 포함할 수 있다. 제 2 프로세스 가스는 CO, CO2, O2, H2, N2, CxHy, CxRz, 또는 CxHyRz(여기서 x, y 및 z는 0보다 큰 정수들이고, R은 할로겐 엘리먼트임)와 같은 첨가제들을 포함할 수 있다. 제 2 프로세스 가스는 COS, SO2, 또는 SO3과 같은 S 및 O 둘 다를 갖는 화합물을 함유할 수 있다. 일 실시예에서, 제 2 프로세스 가스는 SO2, O2, 및 Ar을 포함한다. 다른 실시예에서, 제 2 프로세스 가스는 SO2, O2, 및 Ar으로 본질적으로 이루어지거나 SO2, O2, 및 Ar으로 이루어진다. 대안적으로, 다른 실시예에서, 제 2 프로세스 가스는 COS, O2, 및 Ar을 포함한다. 또 다른 실시예에서, 제 2 프로세스 가스는COS, O2, 및 Ar으로 본질적으로 이루어지거나 COS, O2, 및 Ar으로 이루어진다.
대안적으로, 제 2 프로세스 가스는 탄소(C) 및 산소(O)를 함유할 수 있고, 선택적으로 Ar(아르곤)과 같은 비활성 엘리먼트를 포함할 수 있다. 제 2 프로세스 가스는 CO 또는 CO2와 같은 C 및 O 둘 다를 갖는 화합물을 함유할 수 있다. 제 2 프로세스 가스는 COS, SO2, O2, H2, N2, CxHy, CxRz, 또는 CxHyRz(여기서 x, yz는 0보다 큰 정수들이고, R은 할로겐 엘리먼트임)와 같은 첨가제들을 포함할 수 있다. 예를 들어, 제 2 프로세스 가스는 CO 또는 CO2, 또는 CO 및 CO2 둘 다를 포함할 수 있다. 일 실시예에서, 제 2 프로세스 가스는 CO2, O2, 및 Ar을 포함한다. 다른 실시예에서, 제 2 프로세스 가스는 CO2, O2, 및 Ar으로 본질적으로 이루어지거나 CO2, O2, 및 Ar으로 이루어진다. 대안적으로, 다른 실시예에서, 제 2 프로세스 가스는 CO, O2, 및 Ar을 포함한다. 또 다른 실시예에서, 제 2 프로세스 가스는CO, O2, 및 Ar으로 본질적으로 이루어지거나 CO, O2, 및 Ar으로 이루어진다.
제 1 프로세스 및/또는 제 2 프로세스 가스의 플라즈마 여기는 인시츄(in-situ)로[즉, 제 1 및/또는 제 2 화학 혼합물이, 기판과 근접 접촉하는 기상(gas-phase), 진공 환경 내에서 형성됨], 또는 엑스시츄(ex-situ)로(즉, 제 1 및/또는 제 2 화학 혼합물이, 기판에 대해 원격으로 위치되는 기상, 진공 환경 내에서 형성됨) 수행될 수 있다. 도 3의 A 내지 도 3의 D는 프로세스 가스의 플라즈마 여기를 용이하게 하기 위해 사용될 수 있는 여러 플라즈마 생성 시스템들을 제공한다. 도 3의 A는, 상단 플레이트 전극(upper plate electrode; UEL)과 하단 플레이트 전극(lower plate electrode; LEL) 사이의 기판 근방에 플라즈마가 형성되고, 하단 전극이 기판을 지지하고 유지하기 위한 정전 척(electrostatic chuck; ESC)으로서 또한 역할하는 용량성 결합 플라즈마(capacitively coupled plasma; CCP) 시스템을 예시한다. 무선 주파수(radio frequency; RF) 전력을 전극들 중 적어도 하나에 커플링함으로써 플라즈마가 형성된다. 도 3a에 도시된 바와 같이, RF 전력은 상단 전극 및 하단 전극 둘 다에 커플링되고, 전력 커플링은 상이한 RF 주파수들을 포함할 수 있다. 대안적으로, 다중 RF 전력 소스들이 동일한 전극에 커플링될 수 있다. 또한, 직류(direct current; DC) 전력이 상단 전극에 커플링될 수 있다.
도 3의 B는, 유도성 엘리먼트(예를 들어, 평면형, 또는 솔레노이드형/헬리칼형 코일)와 하단 플레이트 전극(LEL) 사이의 기판 근방에 플라즈마가 형성되고, 하단 전극이 기판을 지지하고 유지하기 위한 정전 척(ESC)으로서 또한 역할하는 유도성 결합 플라즈마(inductively coupled plasma; ICP) 시스템을 예시한다. 무선 주파수(RF) 전력을 유도성 커플링 엘리먼트에 커플링함으로써 플라즈마가 형성된다. 도 3의 B에 도시된 바와 같이, RF 전력은 유도성 엘리먼트 및 하단 전극 둘 다에 커플링되고, 전력 커플링은 상이한 RF 주파수들을 포함할 수 있다.
도 3의 C는, 슬롯형 평면 안테나와 하단 플레이트 전극(LEL) 사이의 기판 근방에 플라즈마가 형성되고, 하단 전극이 기판을 지지하고 유지하기 위한 정전 척(ESC)으로서 또한 역할하는 표면 파장 플라즈마(surface wave plasma; SWP) 시스템을 예시한다. 마이크로파 주파수의 무선 주파수(RF) 전력을 도파관 및 동축선을 통해 슬롯형 평면 안테나에 커플링함으로써 플라즈마가 형성된다. 도 3의 C에 도시된 바와 같이, RF 전력은 슬롯형 평면 안테나 및 하단 전극 둘 다에 커플링되고, 전력 커플링은 상이한 RF 주파수들을 포함할 수 있다.
도 3의 D는 원격 플라즈마 소스로부터 기판 근방의 프로세싱 영역으로의 대전된 입자들의 전달을 방해하도록 배치된 필터에 의해 기판으로부터 분리되고 기판으로부터 원격에 있는 영역에 플라즈마가 형성되는 원격 플라즈마 시스템을 예시한다. 기판은, 기판을 유지하기 위한 정전 척(ESC)으로서 또한 역할하는 하단 플레이트 전극(LEL)에 의해 지지된다. 무선 주파수(RF) 전력을 원격으로 위치된 영역에 인접한 플라즈마 생성 디바이스에 커플링함으로써 플라즈마가 형성된다. 도 9의 D에 도시된 바와 같이, RF 전력은 원격 영역에 인접한 플라즈마 생성 디바이스 및 하단 전극 둘 다에 커플링되고, 전력 커플링은 상이한 RF 주파수들을 포함할 수 있다.
도 3의 A 내지 도 3의 D의 플라즈마 프로세싱 시스템들은, 설명된 단계적 이온/라디칼 프로세스를 구현하기 위한 다양한 기술들의 예시이도록 의도된다. 설명된 시스템들의 조합들 및 변형들 둘 다를 포함하는 다른 실시예들이 고려된다.
비활성 가스와 같은 불활성 가스를 함유하는 제 1 프로세스 가스의 플라즈마 여기에 의해 제 1 화학 혼합물을 형성하고, 기판 상의 제 1 재료를 제 1 화학 혼합물에 노출시킬 때, 노출을 위한 가스 압력은 1000 mTorr 이하일 수 있다. 예를 들어, 가스 압력은 10 mTorr 내지 100 mTorr의 범위일 수 있다. 추가적으로, 기판은 RF 전력을 하단 플레이트 전극(LEL)에 커플링함으로써 전기적으로 바이어싱될 수 있다. RF 전력은 플라즈마 생성 디바이스에 인가될 수 있거나 또한 인가되지 않을 수 있다.
COS 또는 SO2, O2와 같은 S 및 O를 함유하는 제 2 프로세스 가스의 플라즈마 여기에 의해 제 2 화학 혼합물을 형성하고, 기판 상의 제 2 재료를 제 2 화학 혼합물에 노출시킬 때, 노출을 위한 가스 압력은 1000 mTorr 이하일 수 있다. 예를 들어, 가스 압력은 10 mTorr 내지 100 mTorr의 범위일 수 있다. 추가적으로, 기판은 RF 전력을 하단 플레이트 전극(LEL)에 커플링함으로써 전기적으로 바이어싱될 수 있다. RF 전력은 플라즈마 생성 디바이스에 인가될 수 있거나 또한 인가되지 않을 수 있다.
비활성 가스(예를 들어, Ar)를 함유하는 제 1 프로세스 가스의 플라즈마 여기에 의해 제 1 화학 혼합물을 형성하고 기판 상의 제 1 재료를 제 1 화학 혼합물에 노출시킬 때, 그리고 S 및 O(예를 들어, COS 또는 SO2)를 함유하는 제 2 프로세스 가스의 플라즈마 여기에 의해 제 2 화학 혼합물을 형성할 때, 본 발명자들은, (1) 측방(lateral) 에칭이 없거나 거의 없으며, (ii) 마스크 언더컷이 없거나 거의 없으며, (iii) 애스팩트비 의존 에칭(ARDE)이 없거나 거의 없는 결과를 초래하는 주기적 플라즈마 에칭을 관찰했다.
아래의 청구항들에서, 임의의 종속적 제한들은 임의의 독립 청구항들에 의존한다.
이전의 설명에서, 프로세싱 시스템의 특정 기하학 및 그 내부에서 사용되는 다양한 컴포넌트들 및 프로세스들의 설명들과 같은 특정 상세사항들이 제시되었다. 그러나, 본원의 기술들이 이 특정 상세사항들로부터 벗어난 다른 실시예들에서 실시될 수 있고, 그러한 상세사항들이 설명의 목적을 위한 것이며 제한적이지 않다는 점이 이해되어야 한다. 본원에 개시된 실시예들은 첨부된 도면들을 참조하여 설명되었다. 유사하게, 설명의 목적을 위해, 특정 숫자들, 물질들, 및 구성들이 철저한 이해를 제공하도록 제시되었다. 그럼에도 불구하고, 실시예들은 그러한 특정 상세사항들 없이 실시될 수 있다. 실질적으로 동일한 기능적 구성들을 갖는 컴포넌트들은 동일한 참조 특성들에 의해 나타내어지고, 따라서 임의의 불필요한 설명들이 생략될 수 있다.
다양한 실시예들을 이해하는 것을 지원하도록 다양한 기술들이 다수의 개별적 동작들로 설명되었다. 설명의 순서는 이러한 동작들이 필수적인 종속적 순서임을 암시하는 것으로 해석되지 않아야 한다. 실제로, 이러한 동작들은 제시의 순서대로 수행될 필요가 없다. 설명되는 동작들은 설명되는 실시예와 상이한 순서로 수행될 수 있다. 다양한 추가적인 동작들이 수행될 수 있고/있거나 설명되는 동작들이 추가적인 실시예들에서 생략될 수 있다.
본원에서 사용되는 바와 같은 "기판" 또는 "타겟 기판"은 일반적으로 본 발명에 따라 처리되는 객체를 지칭한다. 기판은 디바이스, 특히 반도체 또는 다른 전자 디바이스의 임의의 물질 부분 또는 구조물을 포함할 수 있고, 예를 들어 반도체 웨이퍼, 레티클과 같은 기본 기판 구조물, 또는 박막과 같은, 기본 기판 구조물 상의 또는 기본 기판 구조물 위에 있는 층일 수 있다. 따라서, 기판은 임의의 특정한 기본 구조물, 아래에 있는 층 또는 위에 있는 층, 패터닝되거나 또는 패터닝되지 않은 것에 제한되지 않고, 이보다는, 임의의 그러한 층 또는 기본 구조물, 및 층들 및/또는 기본 구조물들의 임의의 조합을 포함하는 것으로 고려된다. 설명은 특정한 유형들의 기판들을 참조할 수 있지만, 이는 예시적인 목적만을 위한 것이다.
당업자는 또한 본 발명의 동일한 목표를 여전히 달성하면서, 위에서 설명된 기술들의 동작들에 대해 이루어지는 많은 변형예들이 있을 수 있다는 점을 이해할 것이다. 그러한 변형예들은 본 개시의 범위에 의해 커버되도록 의도된다. 그와 같은, 본 발명의 실시예들의 이전의 설명들은 제한적으로 의도되지 않는다. 이보다는, 본 발명의 실시예들의 임의의 제한들은 다음의 청구항들에 나타내어진다.

Claims (20)

  1. 에칭 방법에 있어서,
    유기 재료를 함유하는 제 1 재료, 및 상기 제 1 재료와는 상이한 제 2 재료를 갖는 기판을 제공하는 단계;
    불활성(inert) 가스를 함유하는 제 1 프로세스 가스의 플라즈마 여기에 의해 제 1 화학 혼합물을 형성하는 단계;
    상기 기판 상의 상기 제 1 재료를 상기 제 1 화학 혼합물에 노출시키는 단계;
    그 후, O와, S 및 C로 이루어지는 그룹으로부터 선택되는 적어도 하나의 엘리먼트와, 선택적으로 비활성(noble) 엘리먼트를 함유하는 제 2 프로세스 가스의 플라즈마 여기에 의해 제 2 화학 혼합물을 형성하는 단계;
    상기 제 2 재료에 대해 상기 제 1 재료를 선택적으로 에칭하기 위해 상기 기판 상의 제 1 재료를 플라즈마 여기된 상기 제 2 프로세스 가스에 노출시키는 단계를 포함하는, 에칭 방법.
  2. 제 1 항에 있어서, 상기 제 1 프로세스 가스는 비활성 엘리먼트를 함유하는 것인, 에칭 방법.
  3. 제 1 항에 있어서, 상기 제 1 프로세스 가스는 Ar을 함유하는 것인, 에칭 방법.
  4. 제 1 항에 있어서, 상기 제 1 프로세스 가스는 Ar로 이루어지는 것인, 에칭 방법.
  5. 제 1 항에 있어서, 상기 제 2 프로세스 가스는, S 및 O 둘 다를 갖는 화합물, 또는 C 및 O 둘 다를 갖는 화합물을 포함하는 것인, 에칭 방법.
  6. 제 1 항에 있어서, 상기 제 2 프로세스 가스는 CO, CO2, COS, 또는 SO2를 함유하는 것인, 에칭 방법.
  7. 제 1 항에 있어서, 상기 제 2 프로세스 가스는, O2, Ar, 그리고 CO2, CO, SO2 및 COS로 이루어지는 그룹으로부터 선택되는 가스로 이루어지는 것인, 에칭 방법.
  8. 제 1 항에 있어서, 상기 제 2 프로세스 가스는 O2, H2, N2, CxHy, CxRz, 또는 CxHyRz(여기서 x, y 및 z는 0보다 큰 정수들이고, R은 할로겐 엘리먼트임)를 더 함유하는 것인, 에칭 방법.
  9. 제 1 항에 있어서, 상기 제 1 재료는 비정질 탄소, 또는 탄소 함유 하드 마스크를 포함하는 것인, 에칭 방법.
  10. 제 1 항에 있어서, 상기 제 2 재료는 무기 재료를 포함하는 것인, 에칭 방법.
  11. 제 1 항에 있어서, 상기 제 2 재료는 Si, Ge, 또는 금속(M), 및 선택적으로 O, N, C, F, Cl, Br 및 S로 이루어지는 그룹으로부터 선택되는 하나 이상의 엘리먼트를 포함하는 것인, 에칭 방법.
  12. 제 1 항에 있어서, 상기 제 2 재료는 실리콘, 실리콘 산화물, 실리콘 질화물, 실리콘 탄화물, 금속, 금속 산화물, 금속 질화물, 금속 탄화물, 또는 금속 합금, 또는 이들의 조합들을 포함하는 것인, 에칭 방법.
  13. 제 1 항에 있어서, 상기 제 2 재료는 Si 함유 반사 방지 코팅(anti-reflective coating; ARC) 및 실리콘 산화물을 포함하는 것인, 에칭 방법.
  14. 제 1 항에 있어서,
    상기 제 1 재료의 노출된 표면들을 유한 깊이로 개질(modifying)하여 상기 제 1 화학 혼합물에 노출시키는 동안 상기 제 1 재료 내에 개질된 서브층(sub-layer)을 형성하는 단계; 및
    상기 제 1 재료 내의 상기 개질된 서브층을 상기 제 2 화학 혼합물에 노출시키는 동안 에칭하는 단계를 더 포함하는, 에칭 방법.
  15. 제 14 항에 있어서,
    상기 제 2 화학 혼합물에 노출시키는 동안 상기 제 2 재료 위에 보호층을 형성하는 단계를 더 포함하는, 에칭 방법.
  16. 제 15 항에 있어서,
    상기 제 1 화학 혼합물에 노출시키는 동안 상기 제 2 재료 위의 보호층을 제거하는 단계를 더 포함하는, 에칭 방법.
  17. 제 1 항에 있어서, 상기 제 1 프로세스 가스 또는 상기 제 2 프로세스 가스의 플라즈마 여기는, 상단 플레이트 전극, 및 상기 기판을 지지하는 하단 플레이트 전극을 포함하는 용량성 커플링 플라즈마 소스를 사용하여 플라즈마를 생성하는 것을 포함하는 것인, 에칭 방법.
  18. 제 1 항에 있어서, 상기 제 1 프로세스 가스 또는 상기 제 2 프로세스 가스의 플라즈마 여기는, 유도성 엘리먼트, 및 상기 기판을 지지하는 하단 플레이트 전극을 포함하는 유도성 커플링 플라즈마 소스를 사용하여 플라즈마를 생성하는 것을 포함하는 것인, 에칭 방법.
  19. 제 1 항에 있어서, 상기 제 1 프로세스 가스 또는 상기 제 2 프로세스 가스의 플라즈마 여기는, 원격 플라즈마 소스를 사용하여 플라즈마를 생성하는 것을 포함하는 것인, 에칭 방법.
  20. 제 1 항에 있어서,
    상기 제 1 화학 혼합물을 형성하는 단계, 상기 제 1 재료를 상기 제 1 화학 혼합물에 노출시키는 단계, 제 2 화학 혼합물을 형성하는 단계, 및 상기 제 1 재료의 추가 부분들을 점증적으로 제거하기 위해 상기 제 1 재료를 상기 제 2 화학 혼합물에 노출시키는 단계를 반복하는 단계를 더 포함하는, 에칭 방법.
KR1020180048637A 2017-04-26 2018-04-26 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법 KR102638422B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762490512P 2017-04-26 2017-04-26
US201762490504P 2017-04-26 2017-04-26
US62/490,512 2017-04-26
US62/490,504 2017-04-26

Publications (2)

Publication Number Publication Date
KR20180120118A true KR20180120118A (ko) 2018-11-05
KR102638422B1 KR102638422B1 (ko) 2024-02-19

Family

ID=64329206

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180048637A KR102638422B1 (ko) 2017-04-26 2018-04-26 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법

Country Status (2)

Country Link
KR (1) KR102638422B1 (ko)
TW (1) TWI810181B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20210010816A (ko) * 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050253272A1 (en) * 2002-04-03 2005-11-17 Nec Corporation Semiconductor device and its manufacturing method
KR20100049491A (ko) * 2008-11-03 2010-05-12 램 리써치 코포레이션 이중층, 삼중층 마스크 cd 제어
US20110266660A1 (en) * 2008-06-30 2011-11-03 Mitsubishi Electric Corporation Insulating film for semiconductor device, process and apparatus for producing insulating film for semiconductor device, semiconductor device, and process for producing the semiconductor device
KR20150018592A (ko) * 2007-05-03 2015-02-23 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4538209B2 (ja) * 2003-08-28 2010-09-08 株式会社日立ハイテクノロジーズ 半導体装置の製造方法
US9373521B2 (en) * 2010-02-24 2016-06-21 Tokyo Electron Limited Etching processing method
US9165783B2 (en) * 2012-11-01 2015-10-20 Applied Materials, Inc. Method of patterning a low-k dielectric film
US9543158B2 (en) * 2014-12-04 2017-01-10 Lam Research Corporation Technique to deposit sidewall passivation for high aspect ratio cylinder etch

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050253272A1 (en) * 2002-04-03 2005-11-17 Nec Corporation Semiconductor device and its manufacturing method
KR20150018592A (ko) * 2007-05-03 2015-02-23 램 리써치 코포레이션 하드마스크 개구 및 하드마스크 개구에 의한 에칭 프로파일 제어
US20110266660A1 (en) * 2008-06-30 2011-11-03 Mitsubishi Electric Corporation Insulating film for semiconductor device, process and apparatus for producing insulating film for semiconductor device, semiconductor device, and process for producing the semiconductor device
KR20100049491A (ko) * 2008-11-03 2010-05-12 램 리써치 코포레이션 이중층, 삼중층 마스크 cd 제어

Also Published As

Publication number Publication date
TWI810181B (zh) 2023-08-01
KR102638422B1 (ko) 2024-02-19
TW201903887A (zh) 2019-01-16

Similar Documents

Publication Publication Date Title
CN107464747B (zh) 使用ale和选择性沉积蚀刻衬底
JP6532066B2 (ja) 原子層をエッチングする方法
US10535531B2 (en) Method of cyclic plasma etching of organic film using carbon-based chemistry
US10541146B2 (en) Method of cyclic plasma etching of organic film using sulfur-based chemistry
US20200381263A1 (en) Method of processing target object
US9911607B2 (en) Method of processing target object
TWI784967B (zh) 矽氮化物之準原子層蝕刻方法
TWI746622B (zh) 用以製造自對準塊體結構之矽氮化物心軸的不等向性抽出方法
KR102412439B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 산화물 에칭 방법
KR102594444B1 (ko) 황 기반 화학물을 이용한 실리콘 함유 유기 막의 플라즈마 에칭 방법
KR102537742B1 (ko) 자가 정렬 블록 구조물들의 제조를 위한 실리콘 질화물 맨드렐의 이방성 추출 방법
KR102638422B1 (ko) 유황 및/또는 탄소계 화학물을 사용하는 유기막의 주기적 플라즈마 에칭 방법
KR102537097B1 (ko) 실리콘 질화물의 유사 원자층 에칭 방법
TWI830129B (zh) 蝕刻裝置及蝕刻方法
TW201829835A (zh) 被處理體之處理方法
KR102448699B1 (ko) 자기 정렬된 다중 패터닝을 위한 선택적 질화물 에칭 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant