TW201741761A - 選擇性之矽抗反射塗層移除 - Google Patents

選擇性之矽抗反射塗層移除 Download PDF

Info

Publication number
TW201741761A
TW201741761A TW106106303A TW106106303A TW201741761A TW 201741761 A TW201741761 A TW 201741761A TW 106106303 A TW106106303 A TW 106106303A TW 106106303 A TW106106303 A TW 106106303A TW 201741761 A TW201741761 A TW 201741761A
Authority
TW
Taiwan
Prior art keywords
layer
siarc
substrate
selectively removing
reflective coating
Prior art date
Application number
TW106106303A
Other languages
English (en)
Other versions
TWI659259B (zh
Inventor
希亞姆 斯里德哈蘭
候補呈 容
安德魯 諾蘭
大竹浩人
謝爾蓋 沃羅寧
艾洛克 蘭傑
Original Assignee
東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 東京威力科創股份有限公司 filed Critical 東京威力科創股份有限公司
Publication of TW201741761A publication Critical patent/TW201741761A/zh
Application granted granted Critical
Publication of TWI659259B publication Critical patent/TWI659259B/zh

Links

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0331Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • H01L21/47Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

描述用於選擇性之矽抗反射塗層(SiARC)移除的方法及系統。方法的一個實施例包含在處理腔室中設置基板,該基板包含:光阻層、SiARC層、圖案轉移層及底層。此種方法亦可包含執行圖案轉移製程,該圖案轉移製程係配置成移除光阻層及在基板上產生結構,該結構包含SiARC層及圖案轉移層的一部分。該方法亦可包含在該結構的SiARC層上執行改質製程,該改質製程將SiARC層轉變為多孔的SiARC層。此外,該方法可包含執行該結構之多孔的SiARC層之移除製程,其中該SiARC層的改質及移除製程係配置成達到標的整合目標。

Description

選擇性之矽抗反射塗層移除
本發明關於基板處理的系統及方法,且更具體而言,關於選擇性移除矽抗反射塗層(SiARC)而不損壞底膜的系統及方法。
使用輻射敏感材料(本文亦稱為「光阻」)的微影製程係在半導體元件及其他圖案化結構的製造上廣泛地使用。在半導體元件製造中使用的軌道光微影處理中,可依次執行下列類型的製程:光阻塗佈,其在半導體晶圓上塗佈光阻溶液以形成光阻膜;加熱處理,以固化所塗佈的光阻膜;曝光處理,以在光阻膜上曝露一預定圖案;熱處理,以促進在曝光之後在光阻膜內的化學反應;顯影處理,以顯影所曝光的光阻膜及形成光阻圖案;使用該光阻圖案蝕刻底層或基板中的微圖案等。
在光微影處理中,有機或無機的抗反射塗層(ARC)可在形成光阻圖案之前在待蝕刻的層上加以沉積。該ARC層可用以減少自待蝕刻的層之光的反射,且同時藉由曝光製程在ARC層上形成光阻圖案。舉例而言,ARC層可防止由朝光阻膜的入射光與從待蝕刻的層反射的光之間的干涉引起的駐波效應。
先進的有機及無機ARC層已加以開發,用於增加特徵部的密度,其改善被製造之微電子元件之每功能成本比例。隨著朝越來越小的特徵部之驅力持續,在製造這些非常小特徵部的幾個新問題係變得引人注目。含矽的ARC(SiARC)層係用於硬遮罩之有希望的候選者,因為SiARC層的Si含量可加以調整以提供對光阻的高蝕刻選擇性。不幸的是,移除在先進ARC層(例如SiARC層)中使用的許多新材料可能是有問題的,而用於移除這些材料及其他層之新的處理方法係微電子元件生產所需要。
選擇性之SiARC移除的方法及系統係加以描述。方法的一個實施例包含在處理腔室中設置基板,該基板包含:光阻層、SiARC層、圖案轉移層及底層。此種方法亦可包含執行圖案轉移製程,該圖案轉移製程係配置成移除光阻層及在基板上產生結構,該結構包含SiARC層及圖案轉移層的一部分。該方法亦可包含在該結構的SiARC層上執行改質製程,該改質製程將SiARC層轉變為多孔SiARC層。此外,該方法可包含執行該結構之多孔SiARC層之移除製程,其中該SiARC層的改質及移除製程係配置成達到標的整合目標。
方法的另一實施例可包含在處理腔室中設置基板,該基板包含:光阻層、矽基層、圖案轉移層及底層。該方法亦可包含執行圖案轉移製程,該圖案轉移製程係配置成移除光阻層及在基板上產生結構,該結構包含矽基層及圖案轉移層的一部分。此外,該方法可包含在該結構的矽基層上執行改質製程,該改質製程係配置成改變矽基層的化學及/或物理特性,以允許矽基層相較於圖案轉移層及/或底層的高蝕刻選擇性。此外,該方法可包含執行該結構之矽基層的移除製程,其中該矽基層的改質及移除製程係配置成達到標的整合目標。
方法的另一實施例包含在處理腔室中設置基板。在一實施例中,該基板包含光阻層、氮氧化矽或SiARC層、光學平坦化層(OPL)或有機層、及目標圖案化層。此外,該方法可包含執行SiARC開口製程,該SiARC開口製程在具有臨界尺寸(CD)的基板上產生結構。該方法亦可包含針對SiARC層執行氮化處理,該氮化處理產生富含氮的SiARC層。在一替代實施例中,氧化製程可用以改質SiARC層,從而產生多孔SiARC層。此外,該方法可包含執行改質之SiARC層的選擇性蝕刻製程。在此等實施例中,選擇性蝕刻製程對於基板中的元件級結構展現高靈敏度,且整合製程係配置成達到標的整合目標。
方法的另一實施例包含在處理腔室中設置基板,該基板包含:光阻層、矽基層、圖案轉移層及底層。此種方法可進一步包含執行圖案轉移製程,該圖案轉移製程係配置成移除光阻層及在基板上產生結構,該結構包含矽基層及圖案轉移層的一部分。此外,該方法可包含在該結構的矽基層上執行改質製程,該改質製程係配置成改變矽基層的化學及/或物理特性,以允許矽基層相較於圖案轉移層及/或底層的高蝕刻選擇性。該方法亦可包含執行該結構之矽基層的移除製程,其中該矽基層的改質及移除製程係配置成達到標的整合目標。
在一實施例中,矽基層係矽抗反射塗層(SiARC),且圖案轉移層包含碳硬遮罩(CHM)層、有機介電層(ODL)、非晶矽層、及/或氧化物層。在一實施例中,改質製程使用氧、氫、或氮電漿。該矽基層的移除製程可使用乾蝕刻製程步驟、濕蝕刻製程步驟、乾蝕刻製程步驟及濕蝕刻製程步驟的組合、或第一乾蝕刻製程步驟及第二乾蝕刻製程步驟的組合。
選擇性之SiARC移除的方法及系統係加以呈現。然而,在相關技術領域中具有通常知識者將了解各種實施例可在不具有此等具體細節之其中一或多者的情況下加以實施,或在具有其他替代者及/或額外的方法、材料、或元件的情況下加以實施。另一方面,眾所周知的結構、材料、或操作係不再詳細顯示或描述,以避免模糊本發明之各種實施例的實施態樣。
類似地,為了說明,闡述具體的數量、材料及構造以提供對本發明的完整理解。儘管如此,本發明可在沒有這些具體細節的情況下加以實施。此外,吾人應了解圖示中所顯示的各種實施例係說明性的表示,且係不必然按比例繪製。在所參照的圖示中,類似的數字似關於整篇說明書中類似的部件。
整份說明書提及「一個實施例」或「一實施例」或其變化,意味著關於該實施例所描述之特定的特徵、結構、材料、或特性係包含於本發明的至少一個實施例中,但不表示上述特徵、結構、材料、或特性係存在於每個實施例中。因此,在整份說明書中各處出現的諸如「在一個實施例中」或「在一實施例中」之用語,係不必然關於本發明的相同實施例。此外,在一個以上的實施例中,特定的特徵、結構、材料、或特性可以任何適當的方式加以組合。各種額外的層及/或結構可加以包含及/或所描述的特徵可在其他實施例中加以省略。
此外,應理解除非額外明確說明,否則「一」可表示「一者以上」。
各種操作將以最有助於理解本發明的方式,以多個分立操作依次描述。然而,不應將所述之順序理解成暗示該等操作必定為順序相依。尤其,該等操作不需以敘述的順序加以執行。所述操作可以不同於所述實施例的順序加以執行。在額外的實施例中,各種額外的操作可加以執行及/或所述操作可加以省略。
當於此處使用,術語「基板」表示及包含材料形成於其上的基底材料或結構。應理解基板可包含單一材料、複數層不同材料、具有不同材料或不同結構之區域於其中的層等。這些材料可包含半導體、絕緣體、導體或其組合。例如:基板可為半導體基板,在支撐結構上的基底半導體層,具有形成於其上之一或多個層、結構或區域的金屬電極或半導體基板。基板可為習知的矽基板或包含半導電性材料層的其他主體基板。當於此處使用,術語「主體基板(bulk substrate)」不僅表示及包含矽晶圓,亦表示及包含矽絕緣體(SOI)基板(諸如矽藍寶石(SOS)基板及矽玻璃(SOG)基板)、在基底半導體基部上的矽磊晶層、及其他半導體或光電材料(諸如:矽鍺、鍺、砷化鎵、氮化鎵、及磷化銦)。基板可經摻雜或未摻雜。
選擇性之SiARC移除的方法及系統係加以描述。方法的一個實施例包含在處理腔室中設置基板,該基板包含:光阻層、SiARC層、圖案轉移層及底層。此種方法亦可包含執行圖案轉移製程,該圖案轉移製程係配置成移除光阻層及在基板上產生結構,該結構包含SiARC層及圖案轉移層的一部分。該方法亦可包含在該結構的SiARC層上執行改質製程,該改質製程將SiARC層轉變為改質的SiARC層。此外,該方法可包含執行該結構之改質的SiARC層之移除製程,其中該SiARC層的改質及移除製程係配置成達到標的整合目標。
方法的另一實施例可包含在處理腔室中設置基板,該基板包含:光阻層、矽基層、圖案轉移層及底層。該方法亦可包含執行圖案轉移製程,該圖案轉移製程係配置成移除光阻層及在基板上產生結構,該結構包含矽基層及圖案轉移層的一部分。此外,該方法可包含在該結構的矽基層上執行改質製程,該改質製程係配置成改變矽基層的化學及/或物理特性,以允許矽基層相較於圖案轉移層及/或底層的高蝕刻選擇性。此外,該方法可包含執行該結構之矽基層的移除製程,其中該矽基層的改質及移除製程係配置成達到標的整合目標。
在一實施例中,選擇性的蝕刻製程係乾蝕刻製程。表面改質製程可使用H2 N2 電漿。在另一實施例中,乾蝕刻製程使用O2 電漿。在另一實施例中,選擇性的蝕刻製程係濕蝕刻製程。在這樣的實施例中,濕蝕刻使用稀釋的HF/HCl。
在一實施例中,在SiARC中植入氮原子係根據植入目標的能量及深度加以最佳化。氮化過程的最佳化可在整合製程之後造成較少的殘留物。
在一實施例中,該方法包含控制整合序列的一個以上操作變數,以達到標的整合目標。在這樣的實施例中,一個以上操作變數包含電漿密度、N2 饋送氣體流、及蝕刻時間。該一個以上操作變數亦可包含製程壓力、製程溫度、及偏壓功率。在一實施例中,電漿密度係源功率的函數。標的整合目標可包含殘留物的移除百分比、基板上元件級結構的損壞程度、及/或OPL或有機層的損壞程度。
在各種實施例中,製程壓力係在5 mT至300 mT的範圍內,且蝕刻時間係5至600秒。製程溫度可在攝氏-10度至攝氏+80度的範圍內,對於N2 /H2 的饋送氣體流係在10 sccm至2000 sccm的範圍內。源功率可在100 W至3000 W的範圍內,且偏壓功率係在100 W至3000 W的範圍內。最大離子能可在100 eV至2000 eV的範圍內。雖然這些範圍係提供作為實施例,但在此技術領域具有通常知識者將認出依據所使用的材料及氣體混合物而可能同樣有用或更有用之替代範圍。在一實施例中,氮氧化矽係SiONx ,其中x係大於零的數字。
在一實施例中,一個以上操作變數包含植入之氮離子的注量;且其中,該植入之氮離子的注量係離子通量及在電漿鞘之植入長度的函數。
氮離子穿透的深度可為離子能的函數,且其中,該離子能係偏壓功率及處理腔室中之壓力的函數。在一實施例中,膜層或區域移除製程之選擇的兩個以上操作變數係使用控制器加以控制,以達到層或區域移除製程目標。
現參照圖示,其中類似的參考數字在數個視圖中指定相同或相對應的部件。
圖1說明塗佈系統100,其包含:塗佈腔室110;基板支架120,耦接至該塗佈腔室110且配置成支撐基板102;及溶液噴嘴組件130,配置成分配溶液(諸如SiARC光阻溶液)。此外,塗佈系統100包含控制器150,該控制器150耦接至基板支架120和溶液噴嘴組件130,且配置成與基板支架120及溶液噴嘴組件130交換資料、訊息及控制訊號。
基板支架120係配置成在自溶液噴嘴組件130將溶液104分配至基板102之上表面的中心106上的期間旋轉(或轉動)基板102。耦接至基板支架120的驅動單元122係配置成旋轉基板支架120。該驅動單元122可例如允許設定旋轉速率及基板支架旋轉124的加速度。
溶液噴嘴組件130包含單一噴嘴132,該單一噴嘴132係實質上位在靠近基板102的中心且在其上表面上方。噴嘴132係配置成在基板102的上表面上以實質垂直於基板102之上表面的方向分配諸如三層光阻膜溶液的溶液,該三層光阻膜溶液例如包含有機平坦化層(OPL)、含矽抗反射塗層(SiARC)、及光敏感可圖案化之光阻層。用於沉積三層光阻結構之製程的實例係在圖2A-2D中加以說明。噴嘴132係耦接至控制閥134的出口端136。控制閥134的入口端138係耦接至溶液供應系統140。控制閥134可配置成在基板102上調節分配溶液的步驟。當打開時,溶液係分配至基板102上。當關閉時,溶液係不被分配至基板102上。溶液供應系統140可包含流體供應閥142、過濾器144、及流量測量/控制裝置146的其中至少一者。此外,噴嘴132係配置成使用平移驅動系統160在徑向方向上從基板102的中心平移至基板102的周圍邊緣且同時分配溶液,如噴嘴132的疊影所示。
此外,控制器150包含微處理器、記憶體及可產生控制電壓的數位I/O埠(可能包含D/A及/或A/D轉換器),其足以傳輸及啟動對於基板支架120之驅動單元122、溶液噴嘴組件130(例如第一控制閥134)、溶液供應系統140及平移驅動系統160的輸入,以及監控來自這些系統的輸出。在記憶體內儲存的程式係用於根據所儲存的製程配方與這些系統交互作用。
控制器150可相對於塗佈系統100在本地加以設置,或可經由網際網路或內部網路相對於塗佈系統100在遠端加以設置。因此,控制器150可使用直接連接、內部網路及網際網路的其中至少一者與塗佈系統100交換數據。控制器150可耦接至在顧客位置(即裝置廠商等)的內部網路,或耦接至在供應商位置(即設備製造商)的內部網路。此外,另一電腦(即控制器、伺服器等)可存取控制器150以經由直接連接、內部網路及網際網路的其中至少一者交換數據。
圖2A-2D說明用於在基板102上形成三層光阻結構的製程。通常,基板102設置中間層202,在該中間層202中某些特徵部將加以形成,如圖2A所示。舉例而言,中間層202可包含介電材料,諸如氧化物層(例如SiO2 )、氮化物層(例如Si3 N4 )等。在一些實施例中,中間層202係藉由在爐或加熱腔室中烘烤晶圓而在矽晶圓的表面上加以形成。在一些實施例中,蒸氣係引進腔室以形成期望的中間層202。
圖2B說明三層光阻結構的第一層204。第一層204可為例如OPL或有機層。在各種實施例中,第一層204係碳硬遮罩(CHM)層、有機介電層(ODL)、非晶矽層、及/或氧化物層。在一實施例中,第一層204可藉由在圖1中說明的塗佈系統100或類似的系統在中間層202上加以沉積。接著,第二層206可在第一層204上加以沉積,如圖2C所示。舉例而言,第二層可為SiARC層,其係使用塗佈系統100旋轉至第一層204上。最後,第三層208可沉積或塗佈至第二層206上,如圖2D所示。第三層208可為光敏感可圖案化的光阻層,其係使用塗佈系統100(諸如圖1的系統)旋塗至第二層206的表面上。
一旦圖2A-2D的三層結構係在基板102上加以形成,各種曝光及顯影製程可加以發生。舉例而言,第三層208可以由圖案遮罩定義的圖案曝露於極紫外線(EUV)或紫外線(UV)頻率的光。在一實施例中,第三層光阻可在由曝光遮罩定義的某些區域中硬化,及在其他區域中保持未硬化。曝光及顯影工具係未加以說明,但在此技術領域具有通常知識者將理解對於光微影製程可使用各種可行的曝光及遮罩系統。
圖6A-6D及圖7A-7C根據在曝光過程期間由遮罩定義的圖案說明用於蝕刻三層結構之製程的示例。在一些實施例中,該三層材料可使用乾蝕刻系統(諸如圖3的電漿蝕刻系統)加以蝕刻。在另一實施例中,該三層材料可使用由濕蝕刻系統分配的濕蝕刻化學品加以蝕刻,如圖5所示。在一實施例中,在第二層206的氮化作用之後,第二層206可使用圖3的乾蝕刻系統或圖5的濕蝕刻系統加以蝕刻。可藉由圖3的系統執行之氮化製程的實例係在圖4中更詳細地加以說明。
圖3係用於執行蝕刻及後熱處理之系統300的實施例。在又一實施例中,如參照圖4所述,系統300可針對SiARC層的氮化作用進一步加以配置。配置成執行上述確定之製程條件的蝕刻及後熱處理系統300係在圖3中加以描繪,該系統300包含:處理腔室310;基板支架320,待處理之基板102係固定於其上;及真空泵系統350。基板102可為半導體基板、晶圓、平板顯示器、或液晶顯示器。處理腔室310可建構成在基板102的表面附近促進處理區域345之蝕刻。可離子化的氣體或處理氣體的混合物係經由氣體分配系統340自氣體供應部390加以導入。針對處理氣體的特定流量,製程壓力係使用真空泵系統350加以調整。在一實施例中,處理氣體的至少一成分包含氮,其可在由系統產生的電漿場中加以離子化。該等氮離子可在基板102上轟擊第二層206的表面(如圖4所示),以促進第二層206的移除。此外,該處理可協助自基板102的曝露表面(諸如第一層204至第三層208之任一者)移除材料。蝕刻處理系統300可配置成處理任何期望尺寸的基板,諸如:200 mm的基板、300 mm的基板、或更大者。
基板102可藉由夾持系統(諸如機械夾持系統或電夾持系統(例如靜電夾持系統))(未顯示)固定至基板支架320。此外,基板支架320可包含加熱系統(未顯示)或冷卻系統(未顯示),其係配置成調整及/或控制基板支架320及基板102的溫度。該加熱系統或冷卻系統可包含熱轉移流體的再循環流動,其在冷卻時自基板支架320接收熱並將熱轉移至熱交換器系統(未顯示),或在加熱時自熱交換器系統將熱轉移至基板支架320。在其他實施例中,加熱/冷卻元件(諸如電阻加熱元件或熱電加熱器/冷卻器)可包含於基板支架320、及處理腔室310的腔室壁、及在處理系統300之內的任何其他構件中。
此外,熱轉移氣體可經由背側氣體供應系統326遞送至基板102的背側,以增進在基板102及基板支架320之間的氣體間隙熱傳導。此種系統可當需要控制基板的溫度在升高或降低的溫度時加以利用。舉例而言,背側氣體供應系統可包含二區氣體分配系統,其中氣體間隙壓力可獨立地在基板102的中心及邊緣之間加以變化。
在顯示於圖3的實施例中,基板支架320可包含電極322,RF功率係經由該電極322耦合至處理區域345。舉例而言,可藉由將來自RF產生器330的RF功率經由一選用性的阻抗匹配網路332傳送至基板支架320,而以一RF電壓電偏壓基板支架320。該RF電偏壓可用以加熱電子以形成及維持電漿。在此配置中,系統300可運作為反應性離子蝕刻(RIE)反應器,其中腔室及上部氣體噴射電極作為接地表面。此外,該RF電偏壓可用以將氮離子直接變成第二層206。典型的RF偏壓頻率可在約0.1 MHz至約80 MHz的範圍內。用於電漿處理的RF系統係為在此技術領域具有通常知識者所熟知。
此外,電極322在一RF電壓下的電偏壓可使用脈衝偏壓訊號控制器331加以脈衝輸送。例如:自RF產生器330輸出的RF功率可在關閉狀態及開啟狀態之間脈衝輸送。或者,RF功率係在多個頻率下施加於基板支架電極。此外,阻抗匹配網路332可藉由減少反射的功率而增進RF功率對電漿處理腔室310中之電漿的傳送。匹配網路拓樸(例如:L型、π型、T型等)及自動控制方法係為在此技術領域具有通常知識者所熟知。
氣體分配系統340可包含用於導入處理氣體混合物的噴淋頭設計。或者,氣體分配系統340可包含多區噴淋頭設計,該多區噴淋頭設計用於導入處理氣體混合物(包含氮或含氮氣體,諸如SiONx ,其中x係大於0的數字)及調整在基板102上方之處理氣體混合物的分布。舉例而言,多區噴淋頭設計可配置成相對於流向基板102上方之實質上中心區域的處理氣體流或組成物的量,而調整流向基板102上方之實質上周圍區域的處理氣體流或組成物。在此種實施例中,氣體可以適當的組合加以分配,以在處理腔室310之內形成H2 N2 電漿。
真空泵系統350可包含能夠高達約每秒8000公升(及更大)泵速度的渦輪分子真空泵(TMP)及用於調節腔室壓力的閘閥。在用於乾電漿蝕刻的傳統電漿處理裝置中,可使用每秒800至3000公升的TMP。對於一般小於約50 毫托的低壓處理而言,TMP係有用的。對於高壓處理(即大於約80毫托)而言,可使用機械升壓泵及乾粗抽泵。此外,用於監控腔室壓力的裝置(未顯示)可耦接至電漿處理腔室310。
如上所述,控制器355可包含微處理器、記憶體、及可產生控制電壓的數位I/O埠,其足以傳輸及啟動對於處理系統300的輸入,以及監控來自電漿處理系統300的輸出。此外,控制器355可耦接至RF產生器330、脈衝偏壓訊號控制器331、阻抗匹配網路332、氣體分配系統340、真空泵系統350、以及基板加熱/冷卻系統(未顯示)、背側氣體供應系統326、及/或靜電夾持系統328,並與以上元件交換資訊。舉例而言,儲存於記憶體中的程式可根據一製程配方用以啟動對於處理系統300之前述元件的輸入,以在基板102上執行一電漿輔助製程,諸如電漿蝕刻製程或後熱處理製程。
此外,處理系統300可進一步包含上電極370,RF功率可從RF產生器372經由選用性的阻抗匹配網路374耦合至該上電極370。在一實施例中,用於施加於上電極之RF功率的頻率可在約0.1 MHz至約200 MHz的範圍內。或者,本實施例可連接下列者而加以使用:感應式耦合電漿(ICP)源;輻射線槽孔天線(RLSA)源,配置成以GHz頻率範圍加以操作;電子迴旋共振(ECR)源,配置成以次GHz至GHz的範圍加以操作;及其他者。此外,用於施加於下電極之功率的頻率可在約0.1 MHz至約80 MHz的範圍內。此外,控制器355係耦接至RF產生器372及阻抗匹配網路374,以控制對上電極370之RF功率的施加。上電極的設計及實施係為在此技術領域具有通常知識者所熟知。上電極370及氣體分配系統340可如圖所示設計成在相同的腔室組件之內。或者,上電極370可包含多區電極設計,該多區電極設計用於調整耦合至在基板102之上的電漿之RF功率分布。舉例而言,上電極370可分割為中心電極及邊緣電極。
依據應用,額外的裝置(諸如感測器或計量裝置)可耦接至處理腔室310及控制器355,以收集即時資料及使用此即時資料以在二個以上的步驟中同時控制二個以上選擇的整合操作變數,該二個以上步驟包含整合架構的沉積製程、RIE製程、拉除製程、輪廓重整製程、加熱處理製程、及/或圖案轉移製程。此外,相同的資料可用以確保達成整合目標,該等整合目標包含:完成後熱處理、圖案化均勻性(均勻性)、結構的拉除(拉除)、結構的細窄化(細窄化)、結構的深寬比(深寬比)、線寬粗糙度、基板生產率、擁有者的成本等。
如上關於圖3所述,電漿系統300可包含上電極370及下電極322,其中的每一者可耦接至諸如RF產生器372的電源。施加至電極322、370的偏壓在電極322、370之間產生電場,其中圖4中之離子化的電漿場402係加以產生。在一實施例中,參照圖4,在離子化電漿場402中的氮離子404可包含氮離子。或者,離子化的電漿場402可包含氧或氫離子。在一實施例中,電漿場402係H2 N2 電漿場。離子404可藉由由電極322之偏壓產生的電場引導至基板102的表面。在一實施例中,離子404可以在電漿鞘406中獲得的能量轟擊第二層206。在一實施例中,植入的氮離子之注量可為變化的,取決於離子通量及在電漿鞘406的植入長度。在離子化電漿場402中的離子濃度可藉由源功率及氣體組成加以控制。能量可藉由偏壓電位加以控制。在一實施例中,離子能可定義在第二層206內部的穿透深度及離子分布。離子能可為處理腔室310中之偏壓功率及壓力的函數。在一實施例中,有機層204可加以蝕刻且第二層206可同時使用氮離子加以處理。在其他實施例中,第二層206的氮化作用可在獨立的製程中加以進行。
在各種實施例中,離子化的電漿場402可為NF3 或H2 電漿,用於移除改質的SiARC。在另一實施例中,HF氣體可用於離子化的電漿場402。在此技術領域具有通常知識者可理解各種有用的替代蝕刻氣體或改質氣體可根據本實施例適當地加以使用。
在一實施例中,改質製程可加以調整或最佳化,使得離子植入深度係足以移除所有或幾乎所有的SiARC層而沒有損壞底層。在此種實施例中,最佳化的製程可在完成整合製程之後導致較少的殘留物。氮化過程可藉由調整製程的各種實施態樣而加以最佳化。舉例而言,氮氣濃度可加以調整以改變可用於植入之氮離子的濃度。或者,電漿密度可加以改變。此外,蝕刻時間可加以調整。其他製程參數可加以調整,例如包含製程溫度、製程壓力或偏壓功率。
在一實施例中,操作變數可包含電漿密度、電漿離子能、饋送氣體流率、蝕刻時間、蝕刻速率、製程壓力、製程溫度及偏壓功率。一個實例包含針對HF、H2 或NF3 饋送氣體之其中任一者控制饋送氣體的流率。因此,標的整合目標的實例包含:殘留物的移除百分比、SiARC的移除百分比、SiARC對氧化物的蝕刻選擇性、對基板結構上之元件的損壞程度、及/或對OPL、ODL及/或氧化物層的損壞程度。在一示例中,標的整合目標係將SiARC對氧化物的選擇性維持在5:1或更高的範圍內。
針對最佳化的關注標準係在SiARC殘留物的移除百分比與對基板102上之元件級結構的損壞程度及/或對OPL或有機層204的損壞程度之間的權衡。製程壓力可在5 mT至300 mT的範圍內,且蝕刻時間可在5至600秒的範圍內。製程溫度可在攝氏-10度至攝氏+80度的範圍內。針對N2 /H2 氣體或氣體混合物,饋送氣體流率可在10 sccm至2000 sccm的範圍內。例如,在一實施例中,N2 流率可為200 sccm至400 sccm。類似地,在特定的實施例中,H2 流率可在200 sccm至400 sccm的範圍內。在此等實施例中,壓力可為20 mT至100 mT。源功率可在100 W至3000 W的範圍內。在一實施例中,偏壓功率係在100 W至3000 W的範圍內。在另一實施例中,離子能係在100至2000 eV的範圍內。在此技術領域具有通常知識者可依據設備參數及製程需求識別其他合適的範圍。在一實施例中,這些變數可藉由控制器加以控制,以達到層或區域移除製程目標,亦稱為整合目標。
在第二層206的氮化之後,第二層206可藉由圖3系統中的乾蝕刻製程或藉由例如在弱氫氟酸(HF)稀釋液(例如HF/HCl)中或在此技術領域具有通常知識者已知的其他較不具侵襲性(aggressive)之蝕刻配方中的濕清潔/蝕刻加以移除。用於濕清潔/蝕刻之系統500的示例係在圖5中加以說明。在此種實施例中,系統500包含濕蝕刻腔室510以容納濕蝕刻化學品,該濕蝕刻化學品在一些實施例中可包含高反應性(harsh)的酸。
在一實施例中,基板102係在腔室510內之旋轉的基板支架512(諸如板或卡盤)上加以放置。旋轉的基板支架512可藉由電動底座518以各種旋轉速率加以旋轉。在一實施例中,電動底座518可藉由控制器520加以控制。此外,控制器520可控制蝕刻溶液分配器515(例如噴嘴或噴淋頭)分配濕蝕刻化學品516(諸如HF稀釋液)的速率。蝕刻溶液可藉由離心力加以汲引橫過基板102的表面,從而從基板表面移除材料的粒子。蝕刻速率可藉由控制器520調整旋轉速率、分配速率或兩者而加以控制。
蝕刻製程的示例係在圖6A-6D中加以說明。在基板102及設置在其上之層204-208的圖案化曝光之後,一部分的三層結構可使用圖3的乾蝕刻系統或圖5的濕蝕刻系統加以蝕刻掉。舉例而言,具有臨界尺寸(CD)的特徵部可被蝕刻進該三層結構中。在圖6A的步驟中,具有第一深度的第一特徵部602可在第三層208中加以形成。在一實施例中,第一層可藉由濕蝕刻製程或乾蝕刻製程加以蝕刻,如上所述。在圖6B中顯示的步驟,具有第二深度的第二特徵部604可被蝕刻進第二層206。在圖6C中顯示的步驟,具有第三深度的第三特徵部606可被蝕刻進第一層204。此外,第二層206可經歷圖4中說明的氮化處理。在這樣的實施例中,第二層206可為使用氮離子轟擊的SiARC層。在圖6D,第二層206係完全加以移除,其先前已經歷氮化處理。在一實施例中,圖6D的步驟係藉由較不具侵襲性的乾蝕刻製程加以達成。在另一實施例中,圖6D的步驟係藉由弱的濕蝕刻製程(諸如使用弱的HF稀釋液)加以達成。不考慮所選擇的實施例,可包含SiARC層的第二層係使用對在中間層202之區域608中的元件級結構高度選擇性的製程加以移除。
圖7A-7C說明結構之側視圖的示例,在該結構上,上述方法係測試得到最有利的結果。圖7A說明所測試的鰭式場效電晶體(FINFET)結構。該結構係在基板102(未顯示)上加以形成且包含磊晶層702、閘極氧化物層704、氮化矽(SiN)中間層706,中間層706將可流動的氧化物(FOX)層708與具有非晶矽(aSi)層712、薄的高k層714、及SiN覆蓋層716的閘極結構分開。該閘極結構可藉由SiN間隔層710與FOX層708間隔開。
在一實施例中,三層結構係毗鄰FINFET結構加以形成,該三層結構具有第一層204、第二層206及第三層208。第三層208可藉由上述圖案化及移除製程部分地加以移除。在一實施例中,第二層206及第一層204的一部分可使用蝕刻製程加以移除。其餘部分(如圖7B顯示)可配置在一部分的第三層208下方,如圖7A所示。在一實施例中,第二層206(在一些實施例中係SiARC層)可經歷氮化處理。在一實施例中,氮化處理係與電漿蝕刻實質上同時執行。在圖7C中,第二層206係加以移除,留下實質上沒有損壞的FINFET結構及第一層204的其餘部分。此測試的結果證明本文描述的SiARC移除製程係足以用在商業用途。
圖8說明用於SiARC移除之方法800的實施例。在一實施例中,方法800包含在處理腔室中設置基板,該基板包含:光阻層、SiARC層、圖案轉移層及底層,如方塊810所示。此外,圖8中的方法800可包含執行圖案轉移製程,其係配置成移除光阻層及在基板上產生結構,該結構包含SiARC層及圖案轉移層的一部分,如方塊820所示。方法800亦包含在該結構的SiARC層上執行改質製程,該改質製程係於方塊830自物理或化學改質加以選擇。此外,方法800可包含自結構執行多孔SiARC層的移除製程,如方塊840所示。在此等實施例中,選擇性的蝕刻製程對於基板中的元件級結構展現高靈敏度,且整合製程係配置成達到如關於圖6A-6D所描述之標的整合目標。
圖9係說明基板中之三層光阻堆疊及底層之實施例的橫剖面圖。除了以上關於圖1及圖2描述的該等層以外,此實施例可包含額外的非晶層902,諸如非晶矽(aSi)。非晶層902在製造太陽能電池或液晶顯示器(LCD)上係有用的。雖然圖11A-11D的實施例係關於圖9的結構加以描述,但在此技術領域具有通常知識者將理解包含非晶層902係非必要條件。可包含更多或較少的層,該等層包含各種層材料。
圖10說明未完全移除SiARC層的示例。因為在標準的SiARC層及底層材料(諸如氧化物)之間低程度的選擇性,SiARC層的未完全移除係元件製造中常見的問題。不完全的移除可能導致製造缺陷,其劣化裝置或使其無法使用,從而造成浪費及增加成本。
在圖10的實施例中,第二層206(其可為SiARC)係未完全加以移除。一些第一層204(其可為有機層)的部分在蝕刻後亦殘留。因此,非晶層902係非藉由蝕刻而完全曝露。在圖10的實施例中,一部分的氧化物層202係亦加以顯示。
圖11A至11D說明在用於選擇性之SiARC移除的一組製程中基板的側視圖。在圖11A中,在一實施例中,第三層208(其可為光阻層)係形成為圖案化遮罩1102。第二層206及第一層204的曝露區域可加以移除。此外,一部分的非晶層902可加以移除。所移除的部分可在該等層中形成溝槽或其他物理特徵部1104,如圖11B所示。在這樣的實施例中,第一層204可為有機層,第二層206可為SiARC層,而第三層208可為光阻層。
在圖11C說明的步驟中,第二層206(其在一些實施例中可為SiARC層)可經歷改質製程,從而變成改質層1106。該改質製程可包含本文描述的一種以上氮化或碳剝離過程。改質層1106可接著藉由後續的蝕刻製程加以剝離,如圖11D所示。在一實施例中,後續的蝕刻製程係濕蝕刻。或者,後續的蝕刻製程可為乾蝕刻製程,其對中間層202或底層其中之一的材料具有選擇性。
圖12及13說明在各種SiARC層之污染物(包含碳、氮、氧等)的存在下曝露O2 電漿處理5分鐘之後的效果。圖12顯示根據以奈米為單位的深度之多層結構的目前組成。結構的各種層係以箭號加以標記。本實施例中之感興趣的主要區域係SiARC區域。如圖12所示,未處理的SiARC層包含高達20%的碳。如圖13所示,電漿處理造成碳及其他污染物顯著的降低,幾乎降至0%,從而產生多孔的SiARC層。
圖14說明SiARC移除製程之實施例的實驗結果。三種結構係經歷不同時間段之包含HF的濕蝕刻槽液。由點線表示的第一結構係O2 處理之SiARC層,其中該SiARC層係藉由O2 電漿加以改質而變為多孔的。由點虛線表示的第二結構係O2 處理之氧化物層。由混合虛線表示的第三結構係控制組,其未經歷任何改質製程。如圖所示,觀察到O2 處理的SiARC層與其他結構之蝕刻速率的大偏差,證明高程度的選擇性。
圖15說明相對於腔室氣體壓力之SiARC對氧化物選擇性的示例。如圖所示,高於100毫托的壓力顯示強選擇性,而與先前製程相比,高於150毫托的壓力顯示極大的選擇性。相對於腔室氣體壓力的蝕刻速率係在圖16中加以顯示。相對於蝕刻氣體濃度的蝕刻速率之示例係在圖17中加以顯示。
本文描述的製程及方法之實施例可在商業製程中加以使用,用於製造在商業產品中所含之基於半導體的產品。舉例而言,圖18說明包含印刷電路板(PCB)的電子裝置1802。電子裝置1802可為許多市售產品之一,例如包含電腦、電腦螢幕、電視機、音頻放大器、照相機、智慧型手機及個人數位助理、平板計算裝置、智慧型手錶、特殊應用處理設備、感測器裝置、醫療裝置等。在此技術領域具有通常知識者將理解根根據本發明實施例製造的裝置係非限於任何特定領域。
電子裝置1802可包含一個以上PCB 1804,PCB 1804包含一個以上基於半導體的電子元件,諸如晶片封裝1806。晶片封裝1806可包含經分割之晶圓的晶片,具有配置於其上的一個以上特徵部,諸如圖7A-7C的FINFET元件。晶片可例如包含矽基板102。晶片可在耐用封裝中加以封裝,用於保護配置於其上的特徵部。晶片封裝1806可進一步包含一個以上接觸銷,該接觸銷係配置成對晶片上的某些接觸點提供外部通路。
有利的是,在晶片封裝1806中之晶片上設置的特徵部之尺寸及密度相對於使用其他技術製造的元件可能較小,因為三層堆疊的使用允許半導體元件的高解析度圖案化。此外,相對於先前的移除方法,所描述的方法允許容易移除用於圖案化的SiARC層。
在此技術領域具有通常知識者將容易看出額外的優點及改良。因此,在廣泛實施態樣中的本發明係不限於具體細節、所表示的設備及方法,以及顯示和描述的說明性示例。因此,在不脫離本發明整體概念之範圍的情況下,可自這些細節加以偏離。
100‧‧‧塗佈系統
102‧‧‧基板
104‧‧‧溶液
106‧‧‧中心
110‧‧‧塗佈腔室
120‧‧‧基板支架
122‧‧‧驅動單元
124‧‧‧旋轉
130‧‧‧溶液噴嘴組件
132‧‧‧噴嘴
134‧‧‧控制閥
136‧‧‧出口端
138‧‧‧入口端
140‧‧‧溶液供應系統
142‧‧‧流體供應閥
144‧‧‧過濾器
146‧‧‧流量測量/控制裝置
150‧‧‧控制器
160‧‧‧平移驅動系統
202‧‧‧中間層
204‧‧‧第一層
206‧‧‧第二層
208‧‧‧第三層
300‧‧‧系統
310‧‧‧處理腔室
320‧‧‧基板支架
322‧‧‧電極
326‧‧‧背側氣體供應系統
328‧‧‧靜電夾持系統
330‧‧‧RF產生器
331‧‧‧脈衝偏壓訊號控制器
332‧‧‧阻抗匹配網路
340‧‧‧氣體分配系統
345‧‧‧處理區域
350‧‧‧真空泵系統
355‧‧‧控制器
370‧‧‧上電極
372‧‧‧RF產生器
374‧‧‧阻抗匹配網路
390‧‧‧氣體供應部
402‧‧‧電漿場
404‧‧‧離子
406‧‧‧電漿鞘
500‧‧‧系統
510‧‧‧腔室
512‧‧‧基板支架
515‧‧‧蝕刻溶液分配器
516‧‧‧濕蝕刻化學品
518‧‧‧電動底座
520‧‧‧控制器
602‧‧‧第一特徵部
604‧‧‧第二特徵部
606‧‧‧第三特徵部
608‧‧‧區域
702‧‧‧磊晶層
704‧‧‧閘極氧化物層
706‧‧‧中間層
708‧‧‧可流動的氧化物(FOX)層
710‧‧‧SiN間隔層
712‧‧‧非晶矽(aSi)層
714‧‧‧高k層
716‧‧‧SiN覆蓋層
800‧‧‧方法
902‧‧‧非晶層
1102‧‧‧圖案化遮罩
1104‧‧‧物理特徵部
1106‧‧‧改質層
1802‧‧‧電子裝置
1804‧‧‧PCB
1806‧‧‧晶片封裝
包含在說明書中並構成本說明書之一部分的隨附圖式,說明本發明的實施例,並連同以上發明說明及以下實施方式章節,用於描述本發明。
圖1說明塗佈系統的一實施例,該塗佈系統係配置成在基板上形成三層光阻堆疊。
圖2A說明在基板上形成三層光阻堆疊之製程的實施例。
圖2B說明在基板上形成三層光阻堆疊之製程的實施例。
圖2C說明在基板上形成三層光阻堆疊之製程的實施例。
圖2D說明在基板上形成三層光阻堆疊之製程的實施例。
圖3說明電漿蝕刻系統的實施例。
圖4說明用於選擇性之SiARC移除的系統之實施例。
圖5說明濕清潔/蝕刻系統的實施例。
圖6A說明用於選擇性之SiARC移除的製程之實施例。
圖6B說明用於選擇性之SiARC移除的製程之實施例。
圖6C說明用於選擇性之SiARC移除的製程之實施例。
圖6D說明用於選擇性之SiARC移除的製程之實施例。
圖7A說明用於選擇性之SiARC移除的製程之示例。
圖7B說明用於選擇性之SiARC移除的製程之示例。
圖7C說明用於選擇性之SiARC移除的製程之示例。
圖8說明用於選擇性之SiARC移除的方法之實施例的流程圖。
圖9係說明三層光阻堆疊及底層之實施例的橫剖面圖。
圖10說明未完全移除SiARC層的示例。
圖11A說明用於選擇性之SiARC移除的製程之示例。
圖11B說明用於選擇性之SiARC移除的製程之示例。
圖11C說明用於選擇性之SiARC移除的製程之示例。
圖11D說明用於選擇性之SiARC移除的製程之示例。
圖12說明在氧電漿處理之前SiARC的原子組成。
圖13說明在氧電漿處理之後SiARC的原子組成。
圖14說明SiARC移除製程之實施例的實驗結果。
圖15說明SiARC移除製程之實施例的實驗結果。
圖16說明SiARC移除製程之實施例的實驗結果。
圖17說明SiARC移除製程之實施例的實驗結果。
圖18說明具有根據選擇性之SiARC移除方法製造的元件之裝置的實施例。
包含在說明書中的元件符號將參照列表中的圖示,即使該等圖示尚未加以討論,且亦可在討論時參照稍後的圖示。舉例而言,在圖2中描述的元件符號可在圖6A-7C的敘述中加以使用,即使該等元件符號係未在圖6A-7C中明確地標示。
102‧‧‧基板
322‧‧‧電極
370‧‧‧上電極
372‧‧‧RF產生器
402‧‧‧電漿場
404‧‧‧離子
406‧‧‧電漿鞘

Claims (20)

  1. 一種用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,該方法包含: 在一處理腔室中設置一基板,該基板包含: 一光阻層、一SiARC層、一圖案轉移層及一底層; 執行一圖案轉移製程,該圖案轉移製程係配置成移除該光阻層及在該基板上產生一結構,該結構包含該SiARC層及該圖案轉移層的一部分; 在該結構的該SiARC層上執行一改質製程,該改質製程將該SiARC層轉變為一多孔SiARC層;及 執行該結構之該多孔SiARC層的一移除製程; 其中,該SiARC層的該改質及移除製程係配置成達到標的整合目標。
  2. 如申請專利範圍第1項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,該圖案轉移層包含一碳硬遮罩(CHM)層、一有機介電層(ODL)、一非晶矽層、及/或氧化物層。
  3. 如申請專利範圍第1項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,該改質製程使用氧或氫電漿。
  4. 如申請專利範圍第1項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,該SiARC層的該移除製程使用一乾蝕刻製程步驟及一濕蝕刻製程步驟。
  5. 如申請專利範圍第1項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,該SiARC層的該移除製程使用一乾蝕刻製程步驟及一第二乾蝕刻製程步驟。
  6. 如申請專利範圍第4項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,該乾蝕刻製程步驟使用NF3 或H2 電漿。
  7. 如申請專利範圍第4項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,該濕蝕刻製程步驟使用稀釋的HF或HCl。
  8. 如申請專利範圍第5項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,該第二乾蝕刻製程步驟使用HF氣體。
  9. 如申請專利範圍第1項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,該改質製程的最佳化在該整合製程之後造成較少的殘留物。
  10. 如申請專利範圍第1項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,更包含控制選擇之整合序列的一個以上操作變數,以達到標的整合目標。
  11. 如申請專利範圍第10項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,該一個以上操作變數包含電漿密度、電漿離子能、HF、H2 或NF3 的饋送氣體流率、蝕刻時間、蝕刻速率、製程壓力、製程溫度及偏壓功率。
  12. 如申請專利範圍第11項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,該選擇的一個以上操作變數包含電漿密度、電漿離子能、HF、H2 或NF3 的饋送氣體流率、蝕刻時間、蝕刻速率、製程壓力、製程溫度及偏壓功率之其中一者以上。
  13. 如申請專利範圍第12項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,標的整合目標包含殘留物的移除百分比、SiARC的移除百分比、SiARC對氧化物的蝕刻選擇性、對基板結構上之元件的損壞程度、及/或對OPL、ODL及/或氧化物層的損壞程度。
  14. 如申請專利範圍第13項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,該改質及移除製程之選擇的二個以上操作變數係使用一控制器加以控制,以達到標的整合目標。
  15. 如申請專利範圍第14項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,SiARC對氧化物的蝕刻選擇性係在5:1或更高的範圍內。
  16. 如申請專利範圍第15項之用於在基板中選擇性移除矽抗反射塗層(SiARC)之整合製程的方法,其中,製程壓力係在150至300毫托的範圍內。
  17. 一種用於在基板上選擇性移除矽基層之整合製程的方法,該方法包含: 在一處理腔室中設置一基板,該基板包含:一光阻層、一矽基層、一圖案轉移層及一底層; 執行一圖案轉移製程,該圖案轉移製程係配置成移除該光阻層及在該基板上產生一結構,該結構包含該矽基層及該圖案轉移層的一部分; 在該結構的該矽基層上執行一改質製程,該改質製程係配置成改變該矽基層的化學及/或物理特性,以允許該矽基層相較於該圖案轉移層及/或該底層的高蝕刻選擇性;及 執行該結構之該矽基層的一移除製程; 其中,該矽基層的該改質及移除製程係配置成達到標的整合目標。
  18. 如申請專利範圍第17項之用於在基板上選擇性移除矽基層之整合製程的方法,其中,該矽基層係一矽抗反射塗層(SiARC),且該圖案轉移層包含一碳硬遮罩(CHM)層、一有機介電層(ODL)、一非晶矽層、及/或氧化物層。
  19. 如申請專利範圍第17項之用於在基板上選擇性移除矽基層之整合製程的方法,其中,該改質製程使用氧、氫、或氮電漿。
  20. 如申請專利範圍第17項之用於在基板上選擇性移除矽基層之整合製程的方法,其中,該矽基層的該移除製程使用一乾蝕刻製程步驟、一濕蝕刻製程步驟、一乾蝕刻製程步驟及一濕蝕刻製程步驟的組合、或一第一乾蝕刻製程步驟及一第二乾蝕刻製程步驟的組合。
TW106106303A 2016-02-29 2017-02-24 用於選擇性之矽抗反射塗層移除的方法 TWI659259B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662301386P 2016-02-29 2016-02-29
US62/301,386 2016-02-29
US201762445042P 2017-01-11 2017-01-11
US62/445,042 2017-01-11

Publications (2)

Publication Number Publication Date
TW201741761A true TW201741761A (zh) 2017-12-01
TWI659259B TWI659259B (zh) 2019-05-11

Family

ID=59743177

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106106303A TWI659259B (zh) 2016-02-29 2017-02-24 用於選擇性之矽抗反射塗層移除的方法

Country Status (5)

Country Link
US (1) US10115591B2 (zh)
KR (1) KR102413039B1 (zh)
CN (1) CN108885402B (zh)
TW (1) TWI659259B (zh)
WO (1) WO2017151383A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10049876B1 (en) 2017-02-13 2018-08-14 International Business Machines Corporation Removal of trilayer resist without damage to underlying structure
US10627720B2 (en) * 2017-08-18 2020-04-21 Globalfoundries Inc. Overlay mark structures
CN110600429B (zh) * 2018-06-13 2020-09-15 联华电子股份有限公司 形成电容掩模的方法
US11183398B2 (en) * 2018-08-10 2021-11-23 Tokyo Electron Limited Ruthenium hard mask process
US11189484B2 (en) * 2019-12-20 2021-11-30 Micron Technology, Inc. Semiconductor nitridation passivation
US11527413B2 (en) 2021-01-29 2022-12-13 Tokyo Electron Limited Cyclic plasma etch process

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07273111A (ja) * 1994-03-31 1995-10-20 Kawasaki Steel Corp 多層配線構造を有する半導体装置の製造方法、及び、多層配線構造を有する半導体装置
FR2810168B1 (fr) * 2000-06-08 2005-06-17 Meritor Light Vehicle Sys Ltd Moteur electrique notamment motoreducteur pour l'activation d'equipements fonctionnels de vehicules
US6720251B1 (en) 2001-06-28 2004-04-13 Novellus Systems, Inc. Applications and methods of making nitrogen-free anti-reflective layers for semiconductor processing
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US7365014B2 (en) 2004-01-30 2008-04-29 Applied Materials, Inc. Reticle fabrication using a removable hard mask
US20060102208A1 (en) 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
JP4791034B2 (ja) * 2004-12-28 2011-10-12 東京エレクトロン株式会社 半導体装置の製造方法
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
CN101740470A (zh) * 2008-11-17 2010-06-16 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法及半导体器件
US20100216310A1 (en) * 2009-02-20 2010-08-26 Tokyo Electron Limited Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
US20110076623A1 (en) * 2009-09-29 2011-03-31 Tokyo Electron Limited Method for reworking silicon-containing arc layers on a substrate
US8288271B2 (en) 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US20110253670A1 (en) 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
US8435419B2 (en) * 2010-06-14 2013-05-07 Applied Materials, Inc. Methods of processing substrates having metal materials
US8513765B2 (en) * 2010-07-19 2013-08-20 International Business Machines Corporation Formation method and structure for a well-controlled metallic source/drain semiconductor device
KR101746709B1 (ko) * 2010-11-24 2017-06-14 삼성전자주식회사 금속 게이트 전극들을 갖는 반도체 소자의 제조방법
JP2014507686A (ja) 2011-02-11 2014-03-27 ディーエスエム アイピー アセッツ ビー.ブイ. 基板上に反射防止層を堆積させるための方法
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8883646B2 (en) 2012-08-06 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Self-assembled monolayer for pattern formation
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
CN103021839B (zh) * 2012-11-28 2015-06-17 上海华力微电子有限公司 提高无氮介质抗反射层薄膜与光刻胶粘附力的方法
US9318330B2 (en) * 2012-12-27 2016-04-19 Renesas Electronics Corporation Patterning process method for semiconductor devices
US9460934B2 (en) * 2013-03-15 2016-10-04 Globalfoundries Inc. Wet strip process for an antireflective coating layer
JP2016036073A (ja) * 2014-08-01 2016-03-17 パナソニックIpマネジメント株式会社 情報提供システム及び情報提供方法
CN104766905B (zh) * 2014-12-04 2017-01-25 南京工业大学 一种硅薄膜光热吸收体的制备方法
US9508560B1 (en) * 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination

Also Published As

Publication number Publication date
CN108885402B (zh) 2020-01-14
US20180197730A1 (en) 2018-07-12
WO2017151383A1 (en) 2017-09-08
KR20180112063A (ko) 2018-10-11
KR102413039B1 (ko) 2022-06-23
US10115591B2 (en) 2018-10-30
CN108885402A (zh) 2018-11-23
TWI659259B (zh) 2019-05-11

Similar Documents

Publication Publication Date Title
TWI659259B (zh) 用於選擇性之矽抗反射塗層移除的方法
US9786503B2 (en) Method for increasing pattern density in self-aligned patterning schemes without using hard masks
CN106154767B (zh) 使用收缩和生长来减小极紫外敏感度的方法
TWI458014B (zh) 用以控制多層遮罩之圖案臨界尺寸與完整性的蝕刻製程
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
KR101821056B1 (ko) 다중 패턴화 스킴에 대한 선택적 스페이서 에칭을 위한 방법 및 시스템
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
JP2008244479A (ja) 金属窒化物を乾式エッチングする方法及びシステム
US20100216314A1 (en) Substrate processing method
KR20090067146A (ko) 하프늄 함유 재료를 건식 에칭하기 위한 방법 및 시스템
KR102460795B1 (ko) 낮은 종횡비 적층물의 패터닝을 위한 방법 및 시스템
US11243465B2 (en) Plasma treatment method to enhance surface adhesion for lithography
US20100216310A1 (en) Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
CN108885977B (zh) 在集成方案的各个阶段期间进行图案化的修整方法
TWI804573B (zh) 基板處理之方法與系統
US10490404B2 (en) Method of in situ hard mask removal
CN109997211B (zh) 用于自对准多重图案化技术的间隙壁形成