KR20180112063A - 선택적 SiARC 제거 - Google Patents

선택적 SiARC 제거 Download PDF

Info

Publication number
KR20180112063A
KR20180112063A KR1020187027556A KR20187027556A KR20180112063A KR 20180112063 A KR20180112063 A KR 20180112063A KR 1020187027556 A KR1020187027556 A KR 1020187027556A KR 20187027556 A KR20187027556 A KR 20187027556A KR 20180112063 A KR20180112063 A KR 20180112063A
Authority
KR
South Korea
Prior art keywords
layer
siarc
substrate
silicon
removal
Prior art date
Application number
KR1020187027556A
Other languages
English (en)
Other versions
KR102413039B1 (ko
Inventor
시암 시리다르
리 왕
앤드류 노란
히로토 오타케
세르게이 보로닌
알록 란얀
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180112063A publication Critical patent/KR20180112063A/ko
Application granted granted Critical
Publication of KR102413039B1 publication Critical patent/KR102413039B1/ko

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/075Silicon-containing compounds
    • G03F7/0752Silicon-containing compounds in non photosensitive layers or as additives, e.g. for dry lithography
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/091Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers characterised by antireflection means or light filtering or absorbing means, e.g. anti-halation, contrast enhancement
    • G03F1/103
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/38Masks having auxiliary features, e.g. special coatings or marks for alignment or testing; Preparation thereof
    • G03F1/46Antireflective coatings
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/094Multilayer resist systems, e.g. planarising layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/004Photosensitive materials
    • G03F7/09Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers
    • G03F7/11Photosensitive materials characterised by structural details, e.g. supports, auxiliary layers having cover layers or intermediate layers, e.g. subbing layers
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0272Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • H01L21/0276Photolithographic processes using an anti-reflective coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0331Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers for lift-off processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/461Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/469Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After-treatment of these layers
    • H01L21/47Organic layers, e.g. photoresist
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • H01L21/31155Doping the insulating layers by ion implantation

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Architecture (AREA)
  • Structural Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Ceramic Engineering (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

선택적 실리콘 반사 방지 코팅(SiARC) 제거를 위한 방법 및 시스템이 기술된다. 방법의 일 실시예는 프로세스 챔버 내에 기판을 제공하는 단계를 포함하며, 상기 기판은 레지스트 층, SiARC 층, 패턴 전사 층 및 하부 층을 포함한다. 이러한 방법은 또한 레지스트 층을 제거하고 기판 상에 SiARC 층 및 패턴 전사 층의 부분을 포함하는 구조물을 생성하도록 구성된 패턴 전사 공정을 수행하는 단계를 포함할 수 있다. 게다가, 상기 방법은 구조물의 SiARC 층에 변형 공정을 수행하는 단계를 포함할 수 있으며, 상기 변형은 SiARC 층을 다공성 SiARC 층으로 전환시키는 것이다. 또한, 상기 방법은 구조물의 다공성 SiARC 층의 제거 공정을 수행하는 단계를 포함할 수 있으며, SiARC 층의 변형 및 제거 공정은 타겟 통합 목표를 충족시키도록 구성된다.

Description

선택적 SiARC 제거
본 발명은 기판 처리를 위한 시스템 및 방법에 관한 것으로, 보다 구체적으로 하부 필름을 손상시키지 않고 실리콘 반사 방지 코팅(silicon anti-reflective coating; SiARC)을 선택적으로 제거하는 시스템 및 방법에 관한 것이다.
방사선 민감성 물질(본 명세서에서 "레지스트"라고도 함)을 사용하는 리소 그래픽 공정은 반도체 장치 및 다른 패턴화된 구조물의 제조에 널리 사용된다. 반도체 장치의 제조에 사용되는 트랙 포토 리소그래피 처리에서, 다음 유형의 공정이, 예를 들어 포토 레지스트 필름을 형성하기 위해 반도체 웨이퍼 상에 포토 레지스트 용액을 코팅하는 포토 레지스트 코팅, 코팅된 포토 레지스트 필름을 경화시키는 열처리, 포토 레지스트 필름 상에 미리 결정된 패턴을 노출하는 노광 처리, 노광 이후에 포토 레지스트 필름 내의 화학 반응을 촉진시키는 열처리, 노광된 포토 레지스트 필름을 현상하고 포토 레지스트 패턴을 형성하는 현상 처리, 포토 레지스트 패턴을 사용하여 하부 층 또는 기판에 미세한 패턴의 에칭 등이 순차적으로 수행될 수 있다.
포토 리소그래피 처리에서, 포토 레지스트 패턴을 형성하기 전에 에칭될 층 상에 유기 또는 무기 반사 방지 코팅(ARC) 층이 증착될 수 있다. ARC 층은 에칭될 층으로부터의 광의 반사를 감소시키기 위해 사용될 수 있고, 노광 공정에 의해 ARC 층 상에 포토 레지스트 패턴을 형성할 수 있다. 예를 들어, ARC 층은 포토 레지스트 필름으로 향하는 입사광과 에칭될 층으로부터의 반사광 사이의 간섭에 의해 야기되는 정상파 효과(standing wave effect)를 방지할 수 있다.
제조되는 마이크로 전자 장치의 기능 당 비용 비율을 개선하는 증가된 피처 밀도를 위해 진보된 유기 및 무기 ARC 층이 개발되었다. 점점 더 작은 피처에 대한 요구가 계속됨에 따라, 이러한 매우 작은 피처의 제조에 있어 몇 가지 새로운 문제가 가시화되고 있다. 실리콘 함유 ARC(SiARC) 층은, SiARC 층의 Si 함유량이 포토 레지스트에 대한 높은 에칭 선택성을 제공하도록 조정될 수 있기 때문에, 하드 마스크의 유망한 후보자이다. 불행하게도, 예를 들어 SiARC 층과 같은 진보된 ARC 층에 사용되는 많은 새로운 물질의 제거에는 문제가 많을 수 있으며, 마이크로 전자 장치 생산을 위해서는 이들 물질 및 다른 층들을 제거하기 위한 새로운 처리 방법이 필요하다.
선택적 SiARC 제거를 위한 방법 및 시스템이 기술된다. 방법의 일 실시예는 프로세스 챔버 내에 기판을 제공하는 단계를 포함하며, 상기 기판은 레지스트 층, SiARC 층, 패턴 전사 층 및 하부 층을 포함한다. 이러한 방법은 또한 레지스트 층을 제거하고 기판 상에 SiARC 층 및 패턴 전사 층의 부분을 포함하는 구조물을 생성하도록 구성된 패턴 전사 공정을 수행하는 단계를 포함할 수 있다. 게다가, 상기 방법은 구조물의 SiARC 층에 변형 공정을 수행하는 단계를 포함할 수 있으며, 상기 변형은 SiARC 층을 다공성 SiARC 층으로 전환시키는 것이다. 또한, 상기 방법은 구조물의 다공성 SiARC 층의 제거 공정을 수행하는 단계를 포함할 수 있으며, SiARC 층의 변형 및 제거 공정은 타겟 통합 목표를 충족시키도록 구성된다.
방법의 다른 실시예는 프로세스 챔버 내에 기판을 제공하는 단계를 포함할 수 있으며, 상기 기판은 레지스트 층, 실리콘 기반 층, 패턴 전사 층 및 하부 층을 포함한다. 상기 방법은 또한 레지스트 층을 제거하고 기판 상에 실리콘 기반 층 및 패턴 전사 층의 부분을 포함하는 구조물을 생성하도록 구성된 패턴 전사 공정을 수행하는 단계를 포함할 수 있다. 게다가, 상기 방법은 구조물의 실리콘 기반 층에 변형 공정을 수행하는 단계를 포함할 수 있으며, 상기 변형은 패턴 전사 층 및/또는 하부 층과 비해 실리콘 기반 층의 높은 에칭 선택성을 가능하게 하기 위해 실리콘 기반 층의 화학적 및/또는 물리적 특성을 변화시키도록 구성된다. 또한, 상기 방법은 구조물의 실리콘 기반 층의 제거 공정을 수행하는 단계를 포함할 수 있으며, 실리콘 기반 층의 변형 및 제거 공정은 타겟 통합 목표를 충족시키도록 구성된다.
방법의 또 다른 실시예는 프로세스 챔버 내에 기판을 제공하는 단계를 포함한다. 일 실시예에서, 기판은 레지스트 층, 실리콘 산질화물 또는 SiARC 층, 광학 평탄화 층(optical planarization layer; OPL) 또는 유기 층 및 타겟 패턴화 층을 포함한다. 게다가, 상기 방법은 임계 치수(critical dimension; CD)로 기판 상에 구조물을 생성하는 SiARC 개방 공정을 수행하는 단계를 포함할 수 있다. 상기 방법은 또한 질소 농후 SiARC 층을 생성하는 질화 공정을 SiARC 층에 대해 수행하는 단계를 포함할 수 있다. 대안적인 실시예에서, 산화 공정이 SiARC 층을 변형시켜 다공성 SiARC 층을 생성하기 위해 사용될 수 있다. 또한, 상기 방법은 변형된 SiARC 층의 선택적 에칭 공정을 수행하는 단계를 포함할 수 있다. 이러한 실시예에서, 선택적 에칭 공정은 기판 내의 장치 레벨 구조물에 대해 높은 감도를 나타내고, 통합 공정은 타겟 통합 목표를 충족시키도록 구성된다.
방법의 다른 실시예는 프로세스 챔버 내에 기판을 제공하는 단계를 포함할 수 있으며, 상기 기판은 레지스트 층, 실리콘 기반 층, 패턴 전사 층 및 하부 층을 포함한다. 이러한 방법은 레지스트 층을 제거하고 기판 상에 실리콘 기반 층 및 패턴 전사 층의 부분을 포함하는 구조물을 생성하도록 구성된 패턴 전사 공정을 수행하는 단계를 더 포함할 수 있다. 게다가, 상기 방법은 구조물의 실리콘 기반 층에 변형 공정을 수행하는 단계를 포함할 수 있으며, 상기 변형은 패턴 전사 층 및/또는 하부 층에 비해 실리콘 기반 층의 높은 에칭 선택성을 가능하게 하기 위해 실리콘 기반 층의 화학적 및/또는 물리적 특성을 변화시키도록 구성된다. 상기 방법은 또한 구조물의 실리콘 기반 층의 제거 공정을 수행하는 단계를 포함할 수 있으며, 실리콘 기반 층의 변형 및 제거 공정은 타겟 통합 목표를 충족시키도록 구성된다.
일 실시예에서, 실리콘 기반 층은 실리콘 반사 방지 코팅(SiARC)이고, 패턴 전사 층은 탄소 하드 마스크(carbon hard mask; CHM) 층, 유기 유전체 층(organic dielectric layer; ODL), 비정질 실리콘 층 및/또는 산화물 층을 포함한다. 일 실시예에서, 변형 공정은 산소, 수소 또는 질소 플라즈마를 사용한다. 실리콘 기반 층의 제거 공정은 건식 에칭 공정 단계, 습식 에칭 공정 단계, 건식 에칭 공정 단계와 습식 에칭 공정 단계의 조합 또는 제 1 건식 에칭 공정 단계와 제 2 건식 에칭 공정 단계의 조합을 사용할 수 있다.
본 명세서에 통합되어 본 명세서의 일부를 구성하는 첨부 도면은 본 발명의 실시예들을 나타내고, 전술한 본 발명의 일반적인 설명 및 후술되는 상세한 설명과 함께 본 발명을 설명하는 역할을 한다.
도 1은 기판 상에 삼중층 포토 레지스트 스택을 형성하도록 구성된 코팅 시스템의 일 실시예를 도시한다.
도 2a는 기판 상에 삼중층 포토 레지스트 스택을 형성하는 공정의 일 실시예를 도시한다.
도 2b는 기판 상에 삼중층 포토 레지스트 스택을 형성하는 공정의 일 실시예를 도시한다.
도 2c는 기판 상에 삼중층 포토 레지스트 스택을 형성하는 공정의 일 실시예를 도시한다.
도 2d는 기판 상에 삼중층 포토 레지스트 스택을 형성하는 공정의 일 실시예를 도시한다.
도 3은 플라즈마 에칭 시스템의 일 실시예를 도시한다.
도 4는 선택적 SiARC 제거를 위한 시스템의 일 실시예를 도시한다.
도 5는 습식 세정/에칭 시스템의 일 실시예를 도시한다.
도 6a는 선택적 SiARC 제거를 위한 공정의 일 실시예를 도시한다.
도 6b는 선택적 SiARC 제거를 위한 공정의 일 실시예를 도시한다.
도 6c는 선택적 SiARC 제거를 위한 공정의 일 실시예를 도시한다.
도 6d는 선택적 SiARC 제거를 위한 공정의 일 실시예를 도시한다.
도 7a는 선택적 SiARC 제거를 위한 공정의 예를 도시한다.
도 7b는 선택적 SiARC 제거를 위한 공정의 예를 도시한다.
도 7c는 선택적 SiARC 제거를 위한 공정의 예를 도시한다.
도 8은 선택적 SiARC 제거를 위한 방법의 일 실시예의 흐름도를 도시한다.
도 9는 삼중층 포토 레지스트 스택 및 하부 층의 일 실시예를 도시하는 단면도이다.
도 10은 SiARC 층의 불완전 제거의 예를 도시한다.
도 11a는 선택적 SiARC 제거를 위한 공정의 예를 도시한다.
도 11b는 선택적 SiARC 제거를 위한 공정의 예를 도시한다.
도 11c는 선택적 SiARC 제거를 위한 공정의 예를 도시한다.
도 11d는 선택적 SiARC 제거를 위한 공정의 예를 도시한다.
도 12는 산소 플라즈마 처리 전의 SiARC의 원자 조성을 도시한다.
도 13은 산소 플라즈마 처리 후의 SiARC의 원자 조성을 도시한다.
도 14는 SiARC 제거 공정의 일 실시예의 실험 결과를 도시한다.
도 15는 SiARC 제거 공정의 일 실시예의 실험 결과를 도시한다.
도 16은 SiARC 제거 공정의 일 실시예의 실험 결과를 도시한다.
도 17은 SiARC 제거 공정의 일 실시예의 실험 결과를 도시한다.
도 18은 선택적 SiARC 제거 방법에 따라 제조된 컴포넌트를 갖는 장치의 일 실시예를 도시한다.
명세서에 포함된 번호가 매겨진 요소는 논의되기 전이라도 목록의 도면들을 참조할 것이며, 나중 도면을 논의하는 동안에도 참조될 수 있다. 예를 들어, 도 6a 내지 도 7c에 참조 번호가 구체적으로 도시되어 있지 않더라도, 도 2에 도시된 참조 번호가 도 6a 내지 도 7c의 설명에 사용될 수 있다.
선택적 SiARC 제거를 위한 방법 및 시스템이 제시된다. 그러나 당업자는 다양한 실시예들이 특정한 세부 사항들 중 하나 이상 없이 실시되거나, 또는 다른 대체 및/또는 추가적인 방법, 물질 또는 컴포넌트로 실시될 수 있다는 것을 인식할 것이다. 다른 경우에, 잘 공지된 구조물, 물질, 또는 동작은 본 발명의 다양한 실시예들의 양태들을 모호하게 하는 것을 피하기 위해서 상세하게 도시되거나 기술되지 않는다.
마찬가지로, 설명을 목적으로, 특정한 숫자, 물질, 및 구성이 본 발명의 완전한 이해를 제공하기 위해 진술된다. 그럼에도 불구하고, 본 발명은 특정한 세부 사항 없이 실시될 수 있다. 또한, 도면들에 도시된 다양한 실시예들은 예시적인 표현들이며, 반드시 실척도로 도시될 필요는 없다는 것을 이해한다. 도면들을 참조할 때, 동일한 참조 번호는 동일한 부분을 지칭한다.
본 명세서 전반에 걸쳐, "일 실시예" 또는 "실시예" 또는 이의 변형에 대한 참조는 실시예와 관련되어 설명된 특정한 피처, 구조물, 물질 또는 특성이 본 발명의 적어도 하나의 실시예에 포함되는 것을 의미하지만, 이들이 모든 실시예에 존재하는 것을 나타내는 것은 아니다. 따라서, 본 명세서 전반에 걸쳐 다양한 장소에서 "일 실시예에서" 또는 "실시예에서"와 같은 구절의 출현은 반드시 본 발명의 동일한 실시예를 나타내는 것은 아니다. 더욱이 특정한 피처, 구조물, 물질, 또는 특성은 하나 이상의 실시예들에서 임의의 적합한 방식으로 결합될 수 있다. 다양한 추가적인 층들 및/또는 구조물들이 포함되고/포함되거나 설명된 피처들은 다른 실시예들에서 생략될 수 있다.
또한, 하나("a" 또는 "an")는 달리 명시되지 않는 한 "하나 이상"을 의미할 수 있음을 이해해야 한다.
다양한 동작들은 본 발명을 이해하는데 가장 도움을 주는 방식으로, 여러 개의 개별적인 동작들로서 차례로 설명될 것이다. 그러나 설명의 순서는 이러한 동작들이 반드시 순서에 종속되는 것을 의미하는 것으로 해석되어서는 안 된다. 특히, 이러한 동작들은 제시된 순서대로 수행될 필요는 없다. 설명된 동작들은 설명된 실시예들과는 상이한 순서로 수행될 수 있다. 다양한 추가적인 동작들이 수행될 수 있고/수행될 수 있거나 설명된 동작들은 추가의 실시예들에서 생략될 수 있다.
본 명세서에 사용된 바와 같이, 용어 "기판"은 기저 물질 또는 물질들이 형성되는 구성을 의미하고 포함한다. 기판은 단일 물질, 상이한 물질들의 복수의 층들, 내부에 상이한 물질들 또는 상이한 구조물의 영역을 갖는 층 또는 층들 등을 포함할 수 있다는 것이 이해될 것이다. 이들 물질들은 반도체, 절연체, 전도체 또는 이들의 조합을 포함할 수 있다. 예를 들어, 기판은 반도체 기판, 지지 구조물 상의 기저 반도체 층, 금속 전극 또는 하나 이상의 층들, 구조물들 또는 영역들이 그 위에 형성된 반도체 기판일 수 있다. 기판은 종래의 실리콘 기판일 수 있거나 또는 반도체 물질 층을 포함하는 다른 벌크 기판일 수 있다. 본 실시예에서 사용된 바와 같이, 용어 "벌크 기판"은 실리콘 웨이퍼뿐만 아니라, 실리콘 온 사파이어(silicon-on-sapphire; "SOS") 기판 및 실리콘 온 글래스(silicon-on-glass; "SOI") 기판과 같은 실리콘 온 인슐레이터(silicon-on-insulator; "SOI") 기판, 기본 반도체 기저부 상의 실리콘의 에피택셜 층, 및 실리콘 게르마늄, 게르마늄, 갈륨 비화물, 갈륨 질화물, 및 인듐 인화물과 같은 다른 반도체 또는 광전자 물질들을 의미하고 이들을 포함한다. 기판은 도핑될 수도 있거나 도핑되지 않을 수도 있다.
선택적 SiARC 제거를 위한 방법 및 시스템이 기술된다. 방법의 일 실시예는 프로세스 챔버 내에 기판을 제공하는 단계를 포함하며, 상기 기판은 레지스트 층, SiARC 층, 패턴 전사 층 및 하부 층을 포함한다. 이러한 방법은 또한 레지스트 층을 제거하고 기판 상에 SiARC 층 및 패턴 전사 층의 부분을 포함하는 구조물을 생성하도록 구성된 패턴 전사 공정을 수행하는 단계를 포함할 수 있다. 게다가, 방법은 구조물의 SiARC 층에 변형 공정을 수행하는 단계를 포함할 수 있으며, 상기 변형은 SiARC 층을 변형된 SiARC 층으로 전환시키는 것이다. 또한, 방법은 구조물의 변형된 SiARC 층의 제거 공정을 수행하는 단계를 포함할 수 있으며, SiARC 층의 변형 및 제거 공정은 타겟 통합 목표를 충족시키도록 구성된다.
방법의 다른 실시예는 프로세스 챔버 내에 기판을 제공하는 단계를 포함하며, 상기 기판은 레지스트 층, 실리콘 기반 층, 패턴 전사 층 및 하부 층을 포함한다. 방법은 또한 레지스트 층을 제거하고 기판 상에 실리콘 기반 층 및 패턴 전사 층의 부분을 포함하는 구조물을 생성하도록 구성된 패턴 전사 공정을 수행하는 단계를 포함할 수 있다. 게다가, 방법은 구조물의 실리콘 기반 층에 변형 공정을 수행하는 단계를 포함할 수 있으며, 상기 변형은 패턴 전사 층 및/또는 하부 층과 비해 실리콘 기반 층의 높은 에칭 선택성을 가능하게 하기 위해 실리콘 기반 층의 화학적 및/또는 물리적 특성을 변화시키도록 구성된다. 또한, 방법은 구조물의 실리콘 기반 층의 제거 공정을 수행하는 단계를 포함할 수 있으며, 실리콘 기반 층의 변형 및 제거 공정은 타겟 통합 목표를 충족시키도록 구성된다.
일 실시예에서, 선택적 에칭 공정은 건식 에칭 공정이다. 표면 변형 공정은 H2N2 플라즈마를 사용할 수 있다. 다른 실시예에서, 건식 에칭 공정은 O2 플라즈마를 사용한다. 다른 실시예에서, 선택적 에칭 공정은 습식 에칭 공정이다. 이러한 실시예에서, 습식 에칭은 희석된 HF/HCl을 사용한다.
일 실시예에서, SiARC에 질소 원자를 주입하는 것은 주입 에너지 및 깊이 목표에 따라 최적화된다. 질화 공정의 최적화는 통합 공정 후에 잔류물이 줄어들 수 있다.
일 실시예에서, 방법은 타겟 통합 목표를 충족시키기 위해 통합 시퀀스의 하나 이상의 동작 변수를 제어하는 단계를 포함한다. 이러한 실시예에서, 하나 이상의 동작 변수는 플라즈마 밀도, N2 공급 가스 흐름 및 에칭 시간을 포함한다. 하나 이상의 동작 변수는 또한 공정 압력, 공정 온도 및 바이어스 전력을 포함할 수 있다. 일 실시예에서, 플라즈마 밀도는 소스 전력의 함수이다. 타겟 통합 목표는 잔류물 제거율, 기판 상의 장치 레벨 구조물에 대한 손상 정도, 및/또는 OPL 또는 유기 층의 손상 정도를 포함할 수 있다.
다양한 실시예에서, 공정 압력은 5 mT 내지 300 mT의 범위이고, 에칭 시간은 5 초 내지 600 초이다. 공정 온도는 -10 ℃ 내지 +80 ℃의 범위일 수 있고, 공급 가스 흐름은 N2/H2에 대해 10 sccm 내지 2000 sccm의 범위이다. 소스 전력은 100 W 내지 3000 W의 범위일 수 있고, 바이어스 전력은 100 W 내지 3000 W의 범위이다. 최대 이온 에너지는 100 eV 내지 2000 eV의 범위일 수 있다. 이러한 범위가 실시예로서 제공되지만, 당업자는 사용되는 물질 및 가스 혼합물에 따라 유용하거나 보다 유용할 수 있는 다른 범위를 인식할 것이다. 일 실시예에서, 산소 산질화물은 SiONx이고, 여기서 x는 0보다 큰 수이다.
일 실시예에서, 하나 이상의 동작 변수는 주입된 질소 이온의 플루언스(fluence)를 포함하고, 주입된 질소 이온의 플루언스는 플라스마 쉬스(plasma sheath)에서의 이온 플럭스 및 주입 길이의 함수이다.
질소 이온 침투의 깊이는 이온 에너지의 함수일 수 있고, 이온 에너지는 프로세스 챔버 내의 바이어스 전력 및 압력의 함수이다. 일 실시예에서, 필름 층 또는 영역 제거 공정의 선택된 2개 이상의 동작 변수는 층 또는 영역 제거 공정 목표를 충족시키기 위해 제어기를 사용하여 제어된다.
이제 도면을 참조하면, 동일한 참조 번호는 여러 도면들에 걸쳐 동일하거나 대응하는 부분을 나타낸다.
도 1은 코팅 챔버(110), 코팅 챔버(110)에 결합되고 기판(102)을 지지하도록 구성된 기판 홀더(120), 및 SiARC 레지스트 용액과 같은 용액을 분배하도록 구성된 용액 노즐 어셈블리(130)를 포함하는 코팅 시스템(100)을 도시한다. 또한, 코팅 시스템(100)은 기판 홀더(120) 및 용액 노즐 어셈블리(130)에 결합되고, 데이터, 정보 및 제어 신호를 기판 홀더(120) 및 용액 노즐 어셈블리(130)와 교환하도록 구성된 제어기(150)를 포함한다.
기판 홀더(120)는 기판(102)의 상부 표면의 중심(106) 상에 용액 노즐 어셈블리(130)로부터 용액(104)을 분배하는 동안 기판(102)을 회전(또는 스핀)시키도록 구성된다. 기판 홀더(120)에 결합된 구동 유닛(122)이 기판 홀더(120)를 회전시키도록 구성된다. 구동 유닛(122)은 예를 들어 기판 홀더 회전(124)의 회전 속도 및 가속도를 설정하는 것을 허용할 수 있다.
용액 노즐 어셈블리(130)는 실질적으로 기판(102)의 중심 근처에 배치되고 그 상부 표면 위에 있는 단일 노즐(132)을 포함한다. 노즐(132)은 예를 들어 유기 평탄화 층(Organic Planarization Layer; OPL), 실리콘 함유 반사 방지 코팅(SiARC) 및 감광성 패턴화 가능 포토 레지스트 층을 포함하는 삼중층 포토 레지스트 필름 용액과 같은 용액을 기판(102)의 상부 표면에 실질적으로 수직인 방향으로 기판(102)의 상부 표면에 분배하도록 구성된다. 삼중층 포토 레지스트 구조물을 증착하기 위한 공정의 예가 도 2a 내지 도 2d에 도시되어 있다. 노즐(132)은 제어 밸브(134)의 출구 단부(136)에 결합된다. 제어 밸브(134)의 입구 단부(138)는 용액 공급 시스템(140)에 결합된다. 제어 밸브(134)는 기판(102) 상에 용액을 분배하는 것을 조절하도록 구성될 수 있다. 개방될 때, 용액은 기판(102) 상으로 분배된다. 폐쇄될 때, 용액은 기판(102) 상으로 분배되지 않는다. 용액 공급 시스템(140)은 유체 공급 밸브(142), 필터(144) 및 흐름 측정/제어 장치(146) 중 적어도 하나를 포함할 수 있다. 또한, 노즐(132)은 노즐(132)의 고스트 이미지에 의해 도시된 바와 같이 용액을 분배하면서, 병진 구동 어셈블리(160)를 사용하여 기판(102)의 중심으로부터 기판(102)의 주변 가장자리로 방사 방향으로 병진하도록 구성된다.
게다가, 제어기(150)는 기판 홀더(120)의 구동 유닛(122), 용액 노즐 어셈블리(130)(예컨대, 제 1 제어 밸브(134)), 용액 공급 시스템(140) 및 병진 구동 시스템(160)에 대한 입력을 전달 및 활성화시킬뿐만 아니라 이들 시스템으로부터의 출력을 모니터링하기에 충분한 제어 전압을 발생시킬 수 있는 마이크로 프로세서, 메모리 및 디지털 I/O 포트(잠재적으로 D/A 및/또는 A/D 컨버터 포함)를 포함한다. 메모리에 저장된 프로그램은 저장된 공정 레시피에 따라 이들 시스템과 상호 작용하기 위해 사용된다.
제어기(150)는 코팅 시스템(100)에 대해 국부적으로 위치될 수 있거나, 인터넷 또는 인트라넷을 통해 코팅 시스템(100)에 대해 원격 위치될 수 있다. 따라서, 제어기(150)는 직접 연결, 인트라넷 및 인터넷 중 적어도 하나를 사용하여 코팅 시스템(100)과 데이터를 교환할 수 있다. 제어기(150)는 고객 사이트(즉, 장치 제조사 등)에서 인트라넷에 결합될 수 있거나, 판매자 사이트(즉, 장비 제조업자)에서 인트라넷에 결합될 수 있다. 또한, 다른 컴퓨터(즉, 제어기, 서버 등)가 직접 연결, 인트라넷 및 인터넷 중 적어도 하나를 통해 데이터를 교환하기 위해 제어기(150)에 액세스할 수 있다.
도 2a 내지 도 2d는 기판(102) 상에 삼중층 레지스트 구조물을 형성하는 공정을 도시한다. 일반적으로, 기판(102)에는 도 2a에 도시된 바와 같이 특정 피처가 형성되는 중간 층(202)이 제공될 것이다. 예를 들어, 중간 층(202)은 산화물 층(예를 들어, SiO2), 질화물 층(예를 들어, Si3N4) 등과 같은 유전체 물질을 포함할 수 있다. 일부 실시예에서, 중간 층(202)은 노(furnace) 또는 가열 챔버에서 웨이퍼를 베이킹함으로써 실리콘 웨이퍼의 표면 상에 형성된다. 일부 실시예에서, 증기가 챔버에 도입되어 원하는 중간 층(202)을 형성한다.
도 2b는 삼중층 레지스트 구조물의 제 1 층(204)을 도시한다. 제 1 층(204)은 예를 들어 OPL 또는 유기 층일 수 있다. 다양한 실시예에서, 제 1 층(204)은 탄소 하드 마스크(carbon hard mask; CHM) 층, 유기 유전체 층(organic dielectric layer; ODL), 비정질 실리콘 층 및/또는 산화물 층이다. 일 실시예에서, 제 1 층(204)은 도 1에 도시된 코팅 시스템(100) 또는 유사한 시스템에 의해 중간층(202) 상에 증착될 수 있다. 다음으로, 도 2c에 도시된 바와 같이, 제 2 층(206)이 제 1 층(204) 상에 증착될 수 있다. 예를 들어, 제 2 층은 코팅 시스템(100)을 사용하여 제 1 층(204) 상에 스핀되는 SiARC 층일 수 있다. 마지막으로, 도 2d에 도시된 바와 같이, 제 3 층(208)이 제 2 층(206) 상에 증착되거나 코팅될 수 있다. 제 3 층(208)은 도 1의 시스템과 같은 코팅 시스템(100)을 사용하여 제 2 층(206)의 표면 상에 스핀되는 감광성 패턴화 가능 포토 레지스트 층일 수 있다.
도 2a 내지 도 2d의 삼중층 구조물이 기판(102) 상에 형성되면, 다양한 노광 및 현상 공정이 발생할 수 있다. 예를 들어, 제 3 층(208)은 패턴 마스크에 의해 정의된 패턴으로 극자외선(Extreme Ultraviolet; EUV) 또는 자외선(ultraviolet; UV) 주파수의 광에 노출될 수 있다. 일 실시예에서, 포토 레지스트의 제 3 층은 노광 마스크에 의해 정의된 특정 영역에서 경화될 수 있고, 다른 영역에서는 경화되지 않은 상태로 유지될 수 있다. 노광 및 현상액 도구는 도시되지 않았지만, 당업자는 포토 리소그래피 공정에 사용 가능한 다양한 노광 및 마스크 시스템을 인식할 것이다.
도 6a 내지 도 6d 및 도 7a 내지 도 7c는 노광 공정 동안 마스크에 의해 정의된 패턴에 따라 삼중층 구조물을 에칭하는 공정의 예를 도시한다. 일부 실시예에서, 삼중층 물질은 도 3의 플라즈마 에칭 시스템과 같은 건식 에칭 시스템을 사용하여 에칭될 수 있다. 다른 실시예에서, 삼중층 물질은 도 5에 도시된 바와 같은 습식 에칭 시스템에 의해 분배된 습식 에칭 화학물질을 사용하여 에칭될 수 있다. 일 실시예에서, 제 2 층(206)은 제 2 층(206)의 질화 후에 도 3의 건식 에칭 시스템 또는 도 5의 습식 에칭 시스템 중 어느 하나를 사용하여 에칭될 수 있다. 도 3의 시스템에 의해 수행될 수 있는 질화 공정의 실례가 도 4에 보다 상세히 도시되어 있다.
도 3은 에칭 및 후열처리를 수행하기 위한 시스템(300)의 실시예이다. 추가 실시예에서, 도 4를 참조하여 기술되는 바와 같이, 시스템(300)은 SiARC 층의 질화를 위해 추가로 구성될 수 있다. 위에서 확인된 공정 조건들을 수행하도록 구성된 에칭 및 후열처리 시스템(300)이 도 3에서 도시되며, 이 에칭 및 후열처리 시스템(300)은 프로세스 챔버(310), 처리될 기판(102)이 부착되는 기판 홀더(320), 및 진공 펌핑 시스템(350)을 포함한다. 기판(102)은 반도체 기판, 웨이퍼, 평면 패널 디스플레이, 또는 액정 디스플레이일 수 있다. 프로세스 챔버(310)는 기판(102)의 표면 부근의 처리 영역(345)의 에칭을 용이하게 하도록 구성될 수 있다. 이온화 가능한 가스 또는 공정 가스들의 혼합물이 가스 공급부(390)로부터 가스 분배 시스템(340)을 통해 도입된다. 공정 가스의 주어진 흐름에 대해, 공정 압력은 진공 펌핑 시스템(350)을 사용하여 조정된다. 일 실시예에서, 공정 가스의 적어도 하나의 성분은 시스템에 의해 발생된 플라즈마 필드에서 이온화될 수 있는 질소를 함유한다. 질소 이온은 도 4에 도시된 바와 같이 기판(102) 상의 제 2 층(206)의 표면에 충격을 가해 제 2 층(206)의 제거를 용이하게 할 수 있다. 또한, 처리는 제 1 층(204) 내지 제 3 층(208) 중 임의의 층과 같은 기판(102)의 노출된 표면으로부터 물질의 제거를 도울 수 있다. 에칭 처리 시스템(300)은 200㎜ 기판, 300㎜ 기판, 또는 이보다 큰 기판과 같은, 임의의 원하는 크기의 기판을 처리하도록 구성될 수 있다.
기판(102)은 기계적 클램핑 시스템 또는 전기적 클램핑 시스템(예를 들어, 정전 클램핑 시스템)과 같은 클램핑 시스템(도시되지 않음)을 통해 기판 홀더(320)에 부착될 수 있다. 또한, 기판 홀더(320)는 기판 홀더(320) 및 기판(102)의 온도를 조정 및/또는 제어하도록 구성된 가열 시스템(도시되지 않음) 또는 냉각 시스템(도시되지 않음)을 포함할 수 있다. 가열 시스템 또는 냉각 시스템은 냉각시 기판 홀더(320)로부터 열을 수용하고 열교환기 시스템(도시되지 않음)으로 열을 전달하거나, 가열시 열 교환기 시스템으로부터 기판 홀더(320)로 열을 전달하는 열 전달 유체의 재순환 흐름을 포함할 수 있다. 다른 실시예들에서, 저항성 가열 요소 또는 열 전기 히터/냉각기와 같은 가열/냉각 요소들이 기판 홀더(320)뿐만 아니라, 프로세스 챔버(310)의 챔버 벽 및 처리 시스템(300) 내의 임의의 다른 컴포넌트 내에 포함될 수 있다.
추가적으로, 기판(102)과 기판 홀더(320) 사이의 가스 갭 열 전도성을 향상시키기 위해 열 전달 가스가 후면 가스 공급 시스템(326)을 통해 기판(102)의 후면으로 전달될 수 있다. 이러한 시스템은 상승되거나 감소된 온도에서 기판의 온도 제어가 요구될 때 사용될 수 있다. 예를 들어, 후면 가스 공급 시스템은 가스 갭 압력이 기판(102)의 중심과 가장자리 사이에서 독립적으로 변할 수 있는 2개 구역 가스 분배 시스템을 포함할 수 있다.
도 3에서 도시된 실시예에서, 기판 홀더(320)는 전극(322)을 포함할 수 있으며, 이 전극을 통해 RF 전력이 처리 영역(345)에 결합된다. 예를 들어, 기판 홀더(320)는 RF 발생기(330)로부터 선택적인 임피던스 정합 네트워크(332)를 거쳐서 기판 홀더(320)로 RF 전력이 전송되는 것을 통해 RF 전압에서 전기적으로 바이어스될 수 있다. RF 전기 바이어스는 전자를 가열하여 플라즈마를 형성하고 유지시키는 역할을 할 수 있다. 이 구성에서, 시스템(300)은 반응성 이온 에칭(reactive ion etch; RIE) 반응기로서 동작할 수 있으며, 챔버 및 상부 가스 주입 전극은 접지면의 역할을 한다. 또한, RF 전기 바이어스는 질소 이온을 제 2 층(206)으로 지향시키기 위해 사용될 수 있다. RF 바이어스를 위한 전형적인 주파수는 약 0.1 MHz 내지 약 80 MHz의 범위일 수 있다. 플라즈마 처리를 위한 RF 시스템은 당업자에게 잘 알려져 있는 것이다.
또한, RF 전압에서의 전극(322)의 전기적 바이어스는 펄스 바이어스 신호 제어기(331)를 사용하여 펄싱될 수 있다. RF 발생기(330)로부터의 RF 전력 출력은, 예를 들어, 오프 상태와 온 상태 사이로 펄싱될 수 있다. 대안적으로, RF 전력은 다중 주파수에서 기판 홀더 전극에 인가된다. 또한, 임피던스 정합 네트워크(332)는 반사된 전력을 감소시킴으로써 플라즈마 프로세스 챔버(310)에서 플라즈마에 대한 RF 전력의 전달을 향상시킬 수 있다. 정합 네트워크 토폴로지(예를 들어, L형, π형, T형 등) 및 자동 제어 방법은 당업자에게 잘 알려져 있는 것이다.
가스 분배 시스템(340)은 공정 가스들의 혼합물을 도입하기 위한 샤워 헤드 설계를 포함할 수 있다. 대안적으로, 가스 분배 시스템(340)은 질소 또는 SiONx(여기서, x는 0보다 큰 수)와 같은 질소 함유 가스를 포함하는 공정 가스들의 혼합물을 도입하고 기판(102) 위에서 공정 가스들의 혼합물의 분배를 조정하기 위한 다중 구역 샤워 헤드 설계를 포함할 수 있다. 예를 들어, 다중 구역 샤워 헤드 설계는 기판(102) 위의 실질적으로 중심 영역에 대한 공정 가스 흐름 또는 조성에 대해, 기판(102) 위의 실질적으로 주변 영역에 대한 공정 가스 흐름 또는 조성을 조정하도록 구성될 수 있다. 이러한 실시예에서, 챔버(310) 내에 H2N2 플라즈마를 형성하기 위해 가스가 적절한 조합으로 분배될 수 있다.
진공 펌핑 시스템(350)은 초당 8000 리터(및 그 이상)까지의 펌핑 속도가 가능한 터보 분자 진공 펌프(turbo-molecular vacuum pump; TMP) 및 챔버 압력을 스로틀링하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 이용되는 종래의 플라즈마 처리 장치에서는, 초당 800 리터 내지 3000 리터의 TMP가 사용될 수 있다. TMP는 일반적으로 약 50 mTorr보다 작은 저압 처리에 유용하다. 고압 처리(즉, 약 80 mTorr보다 큰) 경우, 기계식 부스터 펌프 및 건식 러핑(roughing) 펌프가 사용될 수 있다. 또한, 챔버 압력을 모니터링하기 위한 장치(도시되지 않음)가 플라즈마 프로세스 챔버(310)에 결합될 수 있다.
위에서 말한 바와 같이, 제어기(355)는 처리 시스템(300)에 대한 입력을 전달 및 활성화시키는 것은 물론, 플라즈마 처리 시스템(300)으로부터의 출력을 모니터링하기에 충분한 제어 전압을 발생시킬 수 있는 마이크로 프로세서, 메모리, 및 디지털 I/O 포트를 포함할 수 있다. 또한, 제어기(355)는 RF 발생기(330), 펄스 바이어스 신호 제어기(331), 임피던스 정합 네트워크(332), 가스 분배 시스템(340), 진공 펌핑 시스템(350)뿐만 아니라, 기판 가열/냉각 시스템(도시되지 않음), 후면 가스 공급 시스템(326), 및/또는 정전 클램핑 시스템(328)에 결합되고, 이것들과 정보를 교환할 수 있다. 예를 들어, 기판(102) 상에 플라즈마 에칭 공정 또는 후열처리 공정과 같은 플라즈마 보조 공정을 수행하기 위해, 메모리에 저장된 프로그램이 공정 레시피에 따라 처리 시스템(300)의 전술한 컴포넌트들에 대한 입력을 활성화시키기 위해 사용될 수 있다.
또한, 처리 시스템(300)은 RF 전력이 RF 발생기(372)로부터 선택적인 임피던스 정합 네트워크(374)를 통해 결합될 수 있는 상부 전극(370)을 더 포함할 수 있다. 일 실시예에서, 상부 전극으로의 RF 전력의 인가를 위한 주파수는 약 0.1 MHz 내지 약 200 MHz의 범위일 수 있다. 대안적으로, 본 실시예는 유도 결합 플라즈마(Inductively Coupled Plasma; ICP) 소스, GHz 주파수 범위에서 동작하도록 구성된 방사형 라인 슬롯 안테나(Radial Line Slot Antenna; RLSA) 소스, 서브 GHz 내지 GHz 범위에서 동작하도록 구성된 전자 사이클로트론 공명(Electron Cyclotron Resonance; ECR) 등과 관련하여 사용될 수 있다. 추가적으로, 하부 전극으로의 전력의 인가를 위한 주파수는 약 0.1 MHz 내지 약 80 MHz의 범위일 수 있다. 또한, 제어기(355)는 상부 전극(370)으로의 RF 전력의 인가를 제어하기 위해 RF 발생기(372) 및 임피던스 정합 네트워크(374)에 결합된다. 상부 전극의 설계 및 구현은 당업자에게 잘 알려져 있는 것이다. 상부 전극(370) 및 가스 분배 시스템(340)은 도시된 바와 같이 동일한 챔버 어셈블리 내에서 설계될 수 있다. 대안적으로, 상부 전극(370)은 기판(102) 위에서 플라즈마에 결합되는 RF 전력 분배를 조정하기 위한 다중 구역 전극 설계를 포함할 수 있다. 예를 들어, 상부 전극(370)은 중앙 전극과 가장자리 전극으로 분리될 수 있다.
적용에 따라, 센서 또는 계측 장치와 같은 추가적인 장치가 프로세스 챔버(310) 및 제어기(355)에 결합되어 실시간 데이터를 수집하고 그러한 실시간 데이터를 사용하여 통합 방식 중 증착 공정, RIE 공정, 풀 공정, 프로파일 개질 공정, 가열처리 공정 및/또는 패턴 전사 공정을 포함하는 2개 이상의 단계에서 2개 이상의 선택된 통합 동작 변수를 동시에 제어할 수 있다. 또한, 후열처리 완료, 패턴화 균일성 (균일성), 구조물의 풀다운 (풀다운), 구조물의 슬리밍 (슬리밍), 구조물의 종횡비 (종횡비), 선폭 거칠기, 기판 처리량, 소유 비용 등을 포함하는 통합 타겟이 달성되는 것을 보장하기 위해 동일한 데이터를 사용할 수 있다.
전술한 바와 같이, 도 3과 관련하여, 플라즈마 시스템(300)은 상부 전극(370) 및 하부 전극(322)을 포함할 수 있으며, 각각은 RF 발생기(372)와 같은 전원에 결합될 수 있다. 전극(들)(322, 370)에 인가된 바이어스는 전극(322, 370) 사이에 전기장을 발생시켜 도 4의 이온화된 플라즈마 필드(402)가 발생된다. 일 실시예에서, 도 4를 참조하면, 이온화된 플라즈마 필드(402) 내의 이온(404)은 질소 이온을 포함할 수 있다. 대안적으로, 이온화된 플라즈마 필드(402)는 산소 또는 수소 이온을 함유할 수 있다. 일 실시예에서, 플라즈마 필드(402)는 H2N2 플라즈마 필드이다. 이온(404)은 전극(322)의 바이어스에 의해 발생된 전기장에 의해 기판(102)의 표면으로 지향될 수 있다. 일 실시예에서, 이온(404)은 플라즈마 쉬스(406)에서 획득된 에너지로 제 2 층(206)에 충격을 가할 수 있다. 일 실시예에서, 주입된 질소 이온의 플루언스는 플라즈마 쉬스(406)에서의 이온 플럭스 및 주입 길이에 따라 가변적일 수 있다. 이온화된 플라즈마 필드(402) 내의 이온의 농도는 소스 전력 및 가스 조성에 의해 제어될 수 있다. 에너지는 바이어싱 전위에 의해 제어될 수 있다. 일 실시예에서, 이온 에너지는 제 2 층(206) 내부의 침투 깊이 및 이온 분포를 정의할 수 있다. 이온 에너지는 프로세스 챔버(310) 내의 바이어스 전력 및 압력의 함수일 수 있다. 일 실시예에서, 유기 층(204)은 에칭될 수 있고, 제 2 층(206)은 동시에 질소 이온으로 처리될 수 있다. 다른 실시예에서, 제 2 층(206)의 질화는 별도의 공정으로 수행될 수 있다.
다양한 실시예에서, 이온화된 플라즈마 필드(402)는 변형된 SiARC의 제거를 위해 NF3 또는 H2 플라즈마일 수 있다. 다른 실시예에서, 이온화된 플라즈마 필드(402)에 HF 가스가 사용될 수 있다. 당업자는 본 실시예에 따라 적절하게 사용될 수 있는 다양한 유용한 대안적인 에칭 가스 또는 변형 가스를 인식할 수 있다.
일 실시예에서, 변형 공정은 이온 주입 깊이가 하부 층을 손상시키지 않고 SiARC 층의 전부 또는 거의 전부를 제거하기에 충분하도록 조정되거나 최적화될 수 있다. 이러한 실시예에서, 최적화된 공정은 통합 공정이 완료된 후 잔류물이 줄어들 수 있다. 질화 공정은 공정의 다양한 양상을 조정함으로써 최적화될 수 있다. 예를 들어, 질소 가스 농도는 주입을 위해 이용 가능한 질소 이온의 농도를 변경하도록 조정될 수 있다. 대안적으로, 플라즈마 밀도가 변경될 수 있다. 또한, 에칭 시간이 조정될 수 있다. 예를 들어, 공정 온도, 공정 압력 또는 바이어스 전력을 포함하는 다른 공정 파라미터가 조정될 수 있다.
일 실시예에서, 동작 변수는 플라즈마 밀도, 플라즈마 이온 에너지, 공급 가스 유량, 에칭 시간, 에칭 속도, 공정 압력, 공정 온도 및 바이어스 전력을 포함할 수 있다. 하나의 예는 HF, H2 또는 NF3 공급 가스 중 임의의 하나에 대한 공급 가스 유량을 제어하는 것을 포함한다. 따라서, 타겟 통합 목표의 예는 잔류물 제거율, SiARC 제거율, 산화물에 대한 SiARC의 에칭 선택성, 기판 구조물 상의 장치에 대한 손상 정도, 및/또는 OPL에 대한 손상 정도, ODL 및/또는 산화물 층을 포함할 수 있다. 일 예시에서, 타겟 통합 목표는 5:1 또는 이 보다 높은 범위에서 SiARC 대 산화물 선택성을 유지하는 것이다.
최적화에 대한 관심의 기준은 SiARC 잔류물 제거율과 기판(102) 상의 장치 레벨 구조물에 대한 손상 정도, 또는 OPL 또는 유기 층(204)에 대한 손상 정도 사이의 절충이다. 공정 압력은 5 mT 내지 300 mT의 범위일 수 있고, 에칭 시간은 5 초 내지 600 초의 범위일 수 있다. 공정 온도는 -10 ℃ 내지 +80 ℃의 범위일 수 있다. 공급 가스 유량은 N2/H2 가스 또는 가스 혼합물에 대해 10 sccm 내지 2000 sccm의 범위일 수 있다. 예를 들어, 일 실시예에서, N2 유량은 200 sccm 내지 400 sccm일 수 있다. 유사하게, H2 유량은 특정 실시예에서는 200 sccm 내지 400 sccm의 범위일 수 있다. 이러한 실시예에서, 압력은 20 mT 내지 100 mT일 수 있다. 소스 전력은 100 W 내지 3000 W의 범위일 수 있다. 일 실시예에서, 바이어스 전력은 100 W 내지 3000 W의 범위일 수 있다. 다른 실시예에서, 이온 에너지는 100 eV 내지 2000 eV의 범위일 수 있다. 당업자는 장비 파라미터 및 공정 요구에 따라 다른 적절한 범위를 인식할 것이다. 일 실시예에서, 이들 변수는 통합 목표라고도 하는 층 또는 영역 제거 공정 목표를 충족시키기 위해 제어기에 의해 제어될 수 있다.
제 2 층(206)의 질화 후, 제 2 층(206)은 도 3의 시스템의 건식 에칭 공정에 의해, 또는 예를 들어 약한 플루오르화 수소산(HF) 희석(예를 들어, HF/HCl)의 습식 세정/에칭 또는 당업자에게 공지된 다른 덜 공격적인 에칭 레시피에 의해 제거될 수 있다. 습식 세정/에칭을 위한 시스템(500)의 예가 도 5에 도시되어 있다. 이러한 실시예에서, 시스템(500)은 습식 에칭 화학물질을 포함하는 습식 에칭 챔버(510)를 포함하고, 상기 습식 에칭 화학물질은 일부 실시예에서 유독성 산을 포함할 수 있다.
일 실시예에서, 기판(102)은 챔버(510) 내에 플레이트 또는 척과 같은 회전 기판 홀더(512) 상에 배치된다. 회전 기판 홀더(512)는 전동 베이스(518)에 의해 다양한 회전 속도로 회전될 수 있다. 일 실시예에서, 전동 베이스(518)는 제어기(520)에 의해 제어될 수 있다. 또한, 제어기(520)는 노즐 또는 샤워 헤드와 같은 에칭 용액 분배기(515)가 HF 희석과 같은 습식 에칭 화학물질(516)을 분배할 수 있는 속도를 제어할 수 있다. 에칭 용액은 원심력에 의해 기판(102)의 표면을 가로질러 이동되어서 기판의 표면으로부터 물질의 입자를 제거할 수 있다. 에칭 속도는 회전 속도, 분배 속도 또는 양자 모두를 조정함으로써 제어기(520)에 의해 제어될 수 있다.
에칭 공정의 예가 도 6a 내지 도 6d에 도시되어 있다. 기판(102) 및 그 위에 배치된 층들(204-208)의 패턴화된 노출 후에, 도 3의 건식 에칭 시스템 또는 도 5의 습식 에칭 시스템 중 어느 하나를 사용하여 삼중층 구조물의 일부분을 에칭 제거할 수 있다. 예를 들어, 임계 치수(CD)를 갖는 피처가 삼중층 구조물 내로 에칭될 수 있다. 도 6a의 단계에서, 제 1 깊이를 갖는 제 1 피처(602)가 제 3 층(208)에 형성될 수 있다. 실시예에서, 제 1 층은 전술한 바와 같이 습식 에칭 공정 또는 건식 에칭 공정 중 어느 하나에 의해 에칭될 수 있다. 도 6b에 도시된 단계에서, 제 2 깊이를 갖는 제 2 피처(604)가 제 2 층(206) 내로 에칭될 수 있다. 도 6c에 도시된 단계에서, 제 3 깊이를 갖는 제 3 피처(606)가 제 1 층(204) 내로 에칭될 수 있다. 또한, 제 2 층(206)은 도 4에 도시된 질화 공정을 겪을 수 있다. 이러한 실시예에서, 제 2 층(206)은 SiARC 층일 수 있으며, 이는 질소 이온으로 충격이 가해진다. 도 6d에서, 이전에 질화 공정을 겪은 제 2 층(206)은 완전히 제거된다. 일 실시예에서, 도 6d의 단계는 덜 공격적인 건식 에칭 공정에 의해 달성된다. 다른 실시예에서, 도 6d의 단계는 약한 HF 희석과 같은 약한 습식 에칭 공정에 의해 달성된다. 선택된 실시예에 관계없이, SiARC 층을 포함할 수 있는 제 2 층은 중간 층(202)의 영역(608)에서 장치 레벨 구조물에 대해 매우 선택적인 공정으로 제거된다.
도 7a 내지 도 7c는 전술한 방법이 유리한 결과로 테스트된 구조물의 측면도의 예를 도시한다. 도 7a는 테스트된 핀 전계 효과 트랜지스터(fin field effect transistor; FINFET) 구조물을 도시한다. 이 구조물은 기판(102)(도시되지 않음) 상에 형성되었고, 에피택셜 층(702), 게이트 산화물 층(704), 실리콘 질화물(SiN) 중간 층(706)을 포함하고, 상기 중간 층(706)은 비정질 실리콘(aSi) 층(712), 얇은 하이-k 층(714), 및 SiN 캡(716)을 갖는 게이트 구조물로부터 유동성 산화물(flowable oxide; FOX) 층(708)을 분리한다. 게이트 구조물은 SiN 스페이서(710)에 의해 FOX 층(708)으로부터 이격될 수 있다.
일 실시예에서, 제 1 층(204), 제 2 층(206) 및 제 3 층(208)을 갖는 삼중층 구조물이 FINFET 구조물에 인접하여 형성된다. 제 3 층(208)은 전술한 패턴화 및 제거 공정에 의해 부분적으로 제거될 수 있다. 일 실시예에서, 제 1 층(204) 및 제 2 층(206)의 일부는 에칭 공정을 사용하여 제거될 수 있다. 도 7b에 도시된 바와 같이 남아있는 부분은 도 7a에 도시된 제 3 층(206)의 부분 아래에 배치될 수 있다. 일 실시예에서, 일부 실시예에서는 SiARC 층인 제 2 층(206)은 질화 공정을 겪을 수 있다. 일 실시예에서, 질화 공정은 플라즈마 에칭과 실질적으로 동일한 시간에 수행된다. 도 7c에서, FINFET 구조물 및 제 1 층(204)의 나머지 부분을 실질적으로 손상시키지 않고 제 2 층(206)이 제거된다. 이 테스트의 결과는 본 명세서에 기술된 SiARC 제거 공정이 상업적 사용에 충분하다는 것을 입증하였다.
도 8은 선택적 SiARC 제거를 위한 방법(800)의 일 실시예를 도시한다. 일 실시예에서, 방법(800)은 블록(810)에 도시된 바와 같이 프로세스 챔버 내에 기판을 제공하는 단계를 포함하며, 상기 기판은 레지스트 층, SiARC 층, 패턴 전사 층 및 하부 층을 포함한다. 게다가, 도 8의 방법(800)은 블록(820)에 도시된 바와 같이 레지스트 층을 제거하고 기판 상에 SiARC 층 및 패턴 전사 층의 부분을 포함하는 구조물을 생성하도록 구성된 패턴 전사 공정을 수행하는 단계를 포함할 수 있다. 방법(800)은 또한 블록(830)에서 구조물의 SiARC 층에 변형 공정을 수행하는 단계를 포함하고, 상기 변형 공정은 물리적 또는 화학적 변형으로부터 선택된다. 또한, 방법(800)은 블록(840)에 도시된 바와 같이 구조물로부터 다공성 SiARC 층의 제거 공정을 수행하는 단계를 포함할 수 있다. 이러한 실시예에서, 선택적 에칭 공정은 기판 내의 장치 레벨 구조물에 대해 높은 감도를 나타내고, 통합 공정은 도 6a 내지 도 6d와 관련하여 기술된 바와 같이 타겟 통합 목표를 충족시키도록 구성된다.
도 9는 기판의 삼중층 포토 레지스트 스택 및 하부 층의 일 실시예를 도시하는 단면도이다. 도 1 및 도 2와 관련하여 전술한 층들 이외에, 이 실시예는 비정질 실리콘(aSi)과 같은 추가의 비정질 층(902)을 포함할 수 있다. 비정질 층(902)은 태양 전지 또는 액정 디스플레이(liquid crystal display; LCD)의 제조에 유용할 수 있다. 도 11a 내지 도 11d의 실시예가 도 9의 구조물과 관련하여 기술되었지만, 당업자는 비정질 층(902)을 포함하는 것이 요구 사항이 아니라는 것을 인식할 것이다. 다양한 층의 물질을 포함하는 더 많거나 적은 층이 포함될 수 있다.
도 10은 SiARC 층의 불완전 제거의 예를 도시한다. SiARC 층의 불완전 제거는 표준 SiARC 층과 산화물 같은 하부 물질 사이의 선택성 레벨이 낮기 때문에 장치 제조에서의 일반적인 문제이다. 불완전 제거는 장치를 저하시키거나 사용 불능으로 만드는 제조 결함으로 이어져 낭비를 초래하고 비용을 증가시킨다.
도 10의 실시예에서, SiARC일 수 있는 제 2 층(206)은 완전히 제거되지 않는다. 유기 층일 수 있는 제 1 층(204)의 일부분이 또한 에칭 후 남아있다. 따라서, 중간 층(902)은 에칭에 의해 완전히 노출되지 않는다. 도 10의 실시예에서, 산화물 층(202)의 일부가 또한 도시된다.
도 11a 내지 도 11d는 선택적 SiARC 제거를 위한 일련의 공정에서의 기판의 측면도를 도시한다. 도 11a에서, 일 실시예에서, 레지스트 층일 수 있는 제 3 층(208)은 패터화된 마스크(1102)로 형성된다. 제 1 층(204) 및 제 2 층(206)의 노출된 영역이 제거될 수 있다. 또한, 비정질 층(902)의 일부분이 제거될 수 있다. 제거된 부분은 도 11b에 도시된 바와 같이 층들 내에 트렌치 또는 다른 물리적 피처(1104)를 형성할 수 있다. 이러한 실시예에서, 제 1 층(204)은 유기 층일 수 있고, 제 2 층(206)은 SiARC 층일 수 있으며, 제 3 층(208)은 레지스트 층일 수 있다.
도 11c에 도시된 단계에서, 일부 실시예에서는 SiARC 층일 수 있는 제 2 층(206)은 변형 공정을 겪을 수 있어 변형된 층(1106)이 될 수 있다. 변형 공정은 본 명세서에 기재된 질화 또는 탄소 스트립핑 공정 중 하나 이상을 포함할 수 있다. 그 다음, 변형된 층(1106)은 도 11d에 도시된 바와 같이 후속하는 에칭 공정에 의해 스트리핑될 수 있다. 일 실시예에서, 후속하는 에칭 공정은 습식 에칭 공정이다. 대안적으로, 후속하는 에칭 공정은 중간 층(202) 또는 하부 층(204) 중 하나의 물질에 선택적인 건식 에칭 공정일 수 있다.
도 12 및 도 13은 탄소, 질소, 산소 등을 포함하는 다양한 SiARC 층 오염물의 존재시 O2 플라즈마 처리의 5분간 노출 후의 효과를 도시한다. 도 12는 나노 미터 단위의 깊이에 따른 본 발명의 다층 구조물의 조성을 나타낸다. 구조물의 다양한 층들은 화살표로 표시되어 있다. 본 실시예에서 주요 관심 영역은 SiARC 영역이다. 도 12에서 알 수 있는 바와 같이, 처리되지 않은 SiARC 층은 20 %까지 탄소를 포함한다. 도 13에 도시된 바와 같이, 플라즈마 처리는 탄소 및 다른 오염물의 존재시 거의 0 %에 이르기까지 현저한 감소에 영향을 미치고, 이로 인해 다공성 SiARC 층을 생성한다.
도 14는 SiARC 제거 공정의 일 실시예의 실험 결과를 도시한다. 3개의 구조물을 다양한 시간 동안 HF를 포함하는 습식 에칭 배스에 제공하였다. 점선으로 표시된 제 1 구조물은 O2 처리된 SiARC 층으로, 이 SiARC 층은 O2 플라즈마에 의해 다공질이되도록 변형되었다. 일점 쇄선으로 표시된 제 2 구조물은 O2 처리된 산화물 층이다. 혼합 대시선으로 표시된 제 3 구조물은 변형 공정에 제출되지 않은 제어이다. 도시된 바와 같이, O2 처리된 SiARC 층 및 다른 구조물의 에칭 속도에서의 큰 편차가 관찰되었고, 높은 레벨의 선택성이 입증되었다.
도 15는 챔버 가스 압력에 대한 SiARC 대 산화물 선택성의 예를 도시한다. 도시된 바와 같이, 100 mTorr를 초과하는 압력은 강한 선택성을 나타내고, 150 mTorr를 초과하는 압력은 이전의 공정과 비교하여 극도의 선택성을 나타낸다. 챔버 가스 압력에 대한 에칭 속도가 도 16에 도시되어 있다. 에칭 가스 농도에 대한 에칭 속도의 예가 도 17에 도시되어 있다.
본 명세서에 기술된 공정 및 방법의 실시예는 상업 제품에 포함시키기 위해 반도체 기반 제품을 제조하기 위한 상업 공정에 사용될 수 있다. 예를 들어, 도 18은 인쇄 회로 기판(printed circuit board; PCB)을 포함하는 전기 장치(1802)를 도시한다. 전기 장치(1802)는 예를 들어 컴퓨터, 컴퓨터 모니터, 텔레비전, 오디오 증폭기, 카메라, 스마트 폰 및 개인 휴대 단말기(personal data assistant), 태블릿 컴퓨팅 장치, 스마트 시계, 특수 용도 처리 장비, 센서 장치, 의료 장치 등을 포함하는 다수의 상업적으로 이용 가능한 제품 중 하나 일 수 있다. 당업자는 본 실시예에 따라 제조된 장치가 임의의 특정 분야에 제한되지 않는다는 것을 인식할 것이다.
전기 장치(1802)는 하나 이상의 PCB(1804)를 포함할 수 있으며, PCB(1804)는 칩 패키지(1806)와 같은 하나 이상의 반도체 기반 전기 컴포넌트를 포함한다. 칩 패키지(1806)는 그 위에 배치된 도 7a 내지 도 7c의 FINFET 장치와 같은 하나 이상의 피처를 갖는 웨이퍼의 세그먼트 칩을 포함할 수 있다. 칩은 예를 들어 실리콘 기판(102)을 포함할 수 있다. 칩은 그 위에 배치된 피처들을 보호하기 위해 내구성 있는 패키지 내에 패키징될 수 있다. 칩 패키지(1806)는 칩 상의 특정 접점에 대한 외부 액세스를 제공하도록 구성된 하나 이상의 접촉 핀을 더 포함할 수 있다.
유리하게는, 칩 패키지(1806) 내의 칩 상에 배치된 피처의 크기 및 밀도는, 다른 기술로 제조된 장치에 비해 작을 수 있는데, 이는 삼중층 스택의 사용이 반도체 장치의 고해상도 패턴화를 가능하게 하기 때문이다. 또한, 기술된 방법은 이전의 제거 방법에 비해, 패턴화에 사용된 SiARC 층의 용이한 제거를 가능하게 한다.
추가적인 장점 및 변형이 당업자에게 용이하게 나타날 것이다. 그러므로, 광범위한 양태들의 본 발명은 특정한 세부 사항, 대표적인 장치 및 방법 및 도시되고 기술된 예시적인 예로 한정되지 않는다. 따라서, 일반적인 발명의 개념의 범위를 벗어나지 않고 이러한 세부 사항에서부터 출발이 이루어질 수 있다.

Claims (20)

  1. 기판에서 실리콘 반사 방지 코팅(silicon anti-reflective coating; SiARC)을 선택적으로 제거하는 통합 공정을 위한 방법에 있어서,
    프로세스 챔버 내에 기판을 제공하는 단계로서, 상기 기판은 레지스트 층, SiARC 층, 패턴 전사 층 및 하부 층을 포함하는 것인, 상기 기판을 제공하는 단계;
    상기 레지스트 층을 제거하고 상기 기판 상에 상기 SiARC 층 및 상기 패턴 전사 층의 부분을 포함하는 구조물을 생성하도록 구성된 패턴 전사 공정을 수행하는 단계;
    상기 구조물의 상기 SiARC 층에 변형 공정을 수행하는 단계로서, 상기 변형은 상기 SiARC 층을 다공성 SiARC 층으로 전환시키는 것인, 상기 변형 공정을 수행하는 단계; 및
    상기 구조물의 상기 다공성 SiARC 층의 제거 공정을 수행하는 단계
    를 포함하고,
    상기 SiARC 층의 상기 변형 및 제거 공정은 타겟 통합 목표를 충족시키도록 구성되는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  2. 제 1 항에 있어서, 상기 패턴 전사 층은 탄소 하드 마스크(carbon hard mask; CHM) 층, 유기 유전체 층(organic dielectric layer; ODL), 비정질 실리콘 층 및/또는 산화물 층을 포함하는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  3. 제 1 항에 있어서, 상기 변형 공정은 산소 또는 수소 플라즈마를 사용하는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  4. 제 1 항에 있어서, 상기 SiARC 층의 제거 공정은 건식 에칭 공정 단계 및 습식 에칭 공정 단계를 사용하는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  5. 제 1 항에 있어서, 상기 SiARC 층의 제거 공정은 건식 에칭 공정 단계 및 제 2 건식 에칭 공정 단계를 사용하는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  6. 제 4 항에 있어서, 상기 건식 에칭 공정 단계는 NF3 또는 H2 플라즈마를 사용하는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  7. 제 4 항에 있어서, 상기 습식 에칭 공정 단계는 희석된 HF 또는 HCl을 사용하는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  8. 제 5 항에 있어서, 상기 제 2 건식 에칭 공정 단계는 HF 가스를 사용하는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  9. 제 1 항에 있어서, 상기 변형 공정의 최적화는 상기 통합 공정 후에 잔류물이 줄어드는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  10. 제 1 항에 있어서,
    타겟 통합 목표를 충족시키기 위해 통합 시퀀스의 선택된 하나 이상의 동작 변수를 제어하는 단계
    를 더 포함하는 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  11. 제 10 항에 있어서, 상기 하나 이상의 동작 변수는 플라즈마 밀도, 플라즈마 이온 에너지, HF, H2 또는 NF3에 대한 공급 가스 유량, 에칭 시간, 에칭 속도, 공정 압력, 공정 온도 및 바이어스 전력을 포함하는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  12. 제 11 항에 있어서, 상기 선택된 하나 이상의 동작 변수는 플라즈마 밀도, 플라즈마 이온 에너지, HF, H2 또는 NF3에 대한 공급 가스 유량, 에칭 시간, 에칭 속도, 공정 압력, 공정 온도 및 바이어스 전력 중 하나 이상을 포함하는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  13. 제 12 항에 있어서, 상기 타겟 통합 목표는 잔류물 제거율, SiARC의 제거율, SiARC 대 산화물의 에칭 선택성, 기판의 구조물 상의 장치에 대한 손상 정도, 및/또는 OPL, ODL 및/또는 산화물에 대한 손상 정도를 포함하는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  14. 제 13 항에 있어서, 상기 변형 및 제거 공정의 동작 변수들 중 선택된 2개 이상은 타겟 통합 목표를 충족시키기 위해 제어기를 사용하여 제어되는 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  15. 제 14 항에 있어서, 상기 SiARC 대 산화물의 에칭 선택성은 5:1 또는 이 보다 높은 범위인 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  16. 제 15 항에 있어서, 상기 공정 압력은 150 mT 내지 300 mT의 범위인 것인, 기판에서 SiARC를 선택적으로 제거하는 통합 공정을 위한 방법.
  17. 기판에서 실리콘 기반 층을 선택적으로 제거하는 통합 공정을 위한 방법에 있어서,
    프로세스 챔버 내에 기판을 제공하는 단계로서, 상기 기판은 레지스트 층, 실리콘 기반 층, 패턴 전사 층 및 하부 층을 포함하는 것인, 상기 기판을 제공하는 단계;
    상기 레지스트 층을 제거하고 상기 기판 상에 상기 실리콘 기반 층 및 상기 패턴 전사 층의 부분을 포함하는 구조물을 생성하도록 구성된 패턴 전사 공정을 수행하는 단계;
    상기 구조물의 상기 실리콘 기반 층에 변형 공정을 수행하는 단계로서, 상기 변형은 상기 패턴 전사 층 및/또는 상기 하부 층과 비교하여 상기 실리콘 기반 층의 높은 에칭 선택성을 가능하게 하기 위해 상기 실리콘 기반 층의 화학적 및/또는 물리적 특성을 변화시키도록 구성되는 것인, 상기 변형 공정을 수행하는 단계; 및
    상기 구조물의 상기 실리콘 기반 층의 제거 공정을 수행하는 단계
    를 포함하고,
    상기 실리콘 기반 층의 상기 변형 및 제거 공정은 타겟 통합 목표를 충족시키도록 구성되는 것인, 기판에서 실리콘 기반 층을 선택적으로 제거하는 통합 공정을 위한 방법.
  18. 제 17 항에 있어서, 상기 실리콘 기반 층은 실리콘 반사 방지 코팅(SiARC)이고, 상기 패턴 전사 층은 탄소 하드 마스크(CHM) 층, 유기 유전체 층(ODL), 비정질 실리콘 층 및/또는 산화물 층을 포함하는 것인, 기판에서 실리콘 기반 층을 선택적으로 제거하는 통합 공정을 위한 방법.
  19. 제 17 항에 있어서, 상기 변형 공정은 산소, 수소 또는 질소 플라즈마를 사용하는 것인, 기판에서 실리콘 기반 층을 선택적으로 제거하는 통합 공정을 위한 방법.
  20. 제 17 항에 있어서, 상기 실리콘 기반 층의 제거 공정은 건식 에칭 공정 단계, 습식 에칭 공정 단계, 건식 에칭 공정 단계와 습식 에칭 공정 단계의 조합, 또는 제 1 건식 에칭 공정 단계와 제 2 건식 에칭 공정 단계의 조합을 사용하는 것인, 기판에서 실리콘 기반 층을 선택적으로 제거하는 통합 공정을 위한 방법.
KR1020187027556A 2016-02-29 2017-02-23 선택적 SiARC 제거 KR102413039B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201662301386P 2016-02-29 2016-02-29
US62/301,386 2016-02-29
US201762445042P 2017-01-11 2017-01-11
US62/445,042 2017-01-11
PCT/US2017/019116 WO2017151383A1 (en) 2016-02-29 2017-02-23 Selective siarc removal

Publications (2)

Publication Number Publication Date
KR20180112063A true KR20180112063A (ko) 2018-10-11
KR102413039B1 KR102413039B1 (ko) 2022-06-23

Family

ID=59743177

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020187027556A KR102413039B1 (ko) 2016-02-29 2017-02-23 선택적 SiARC 제거

Country Status (5)

Country Link
US (1) US10115591B2 (ko)
KR (1) KR102413039B1 (ko)
CN (1) CN108885402B (ko)
TW (1) TWI659259B (ko)
WO (1) WO2017151383A1 (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10049876B1 (en) 2017-02-13 2018-08-14 International Business Machines Corporation Removal of trilayer resist without damage to underlying structure
US10627720B2 (en) * 2017-08-18 2020-04-21 Globalfoundries Inc. Overlay mark structures
CN110600429B (zh) * 2018-06-13 2020-09-15 联华电子股份有限公司 形成电容掩模的方法
US11183398B2 (en) * 2018-08-10 2021-11-23 Tokyo Electron Limited Ruthenium hard mask process
US11189484B2 (en) * 2019-12-20 2021-11-30 Micron Technology, Inc. Semiconductor nitridation passivation
US11527413B2 (en) 2021-01-29 2022-12-13 Tokyo Electron Limited Cyclic plasma etch process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07273111A (ja) * 1994-03-31 1995-10-20 Kawasaki Steel Corp 多層配線構造を有する半導体装置の製造方法、及び、多層配線構造を有する半導体装置
KR20070086783A (ko) * 2004-12-28 2007-08-27 도쿄 엘렉트론 가부시키가이샤 반도체 장치의 제조 방법
US20100216310A1 (en) * 2009-02-20 2010-08-26 Tokyo Electron Limited Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink
JP4953825B2 (ja) * 2004-01-30 2012-06-13 アプライド マテリアルズ インコーポレイテッド 取外し可能ハードマスクを用いたレチクル製造

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2810168B1 (fr) * 2000-06-08 2005-06-17 Meritor Light Vehicle Sys Ltd Moteur electrique notamment motoreducteur pour l'activation d'equipements fonctionnels de vehicules
US6720251B1 (en) 2001-06-28 2004-04-13 Novellus Systems, Inc. Applications and methods of making nitrogen-free anti-reflective layers for semiconductor processing
US20040171260A1 (en) * 2002-06-14 2004-09-02 Lam Research Corporation Line edge roughness control
US6780782B1 (en) * 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20060102208A1 (en) * 2004-11-12 2006-05-18 Tokyo Electron Limited System for removing a residue from a substrate using supercritical carbon dioxide processing
JP5231117B2 (ja) * 2008-07-24 2013-07-10 株式会社ニューフレアテクノロジー 成膜装置および成膜方法
CN101740470A (zh) * 2008-11-17 2010-06-16 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法及半导体器件
US20110076623A1 (en) * 2009-09-29 2011-03-31 Tokyo Electron Limited Method for reworking silicon-containing arc layers on a substrate
US8288271B2 (en) 2009-11-02 2012-10-16 International Business Machines Corporation Method for reworking antireflective coating over semiconductor substrate
US20110253670A1 (en) 2010-04-19 2011-10-20 Applied Materials, Inc. Methods for etching silicon-based antireflective layers
US8435419B2 (en) * 2010-06-14 2013-05-07 Applied Materials, Inc. Methods of processing substrates having metal materials
US8513765B2 (en) * 2010-07-19 2013-08-20 International Business Machines Corporation Formation method and structure for a well-controlled metallic source/drain semiconductor device
KR101746709B1 (ko) * 2010-11-24 2017-06-14 삼성전자주식회사 금속 게이트 전극들을 갖는 반도체 소자의 제조방법
US9561525B2 (en) 2011-02-11 2017-02-07 Dsm Ip Assets B.V. Process for depositing an anti-reflective layer on a substrate
US9666414B2 (en) * 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8883646B2 (en) 2012-08-06 2014-11-11 Taiwan Semiconductor Manufacturing Co., Ltd. Self-assembled monolayer for pattern formation
US9048190B2 (en) * 2012-10-09 2015-06-02 Applied Materials, Inc. Methods and apparatus for processing substrates using an ion shield
CN103021839B (zh) * 2012-11-28 2015-06-17 上海华力微电子有限公司 提高无氮介质抗反射层薄膜与光刻胶粘附力的方法
US9318330B2 (en) * 2012-12-27 2016-04-19 Renesas Electronics Corporation Patterning process method for semiconductor devices
US9460934B2 (en) * 2013-03-15 2016-10-04 Globalfoundries Inc. Wet strip process for an antireflective coating layer
JP2016036073A (ja) * 2014-08-01 2016-03-17 パナソニックIpマネジメント株式会社 情報提供システム及び情報提供方法
CN104766905B (zh) * 2014-12-04 2017-01-25 南京工业大学 一种硅薄膜光热吸收体的制备方法
US9508560B1 (en) * 2015-06-18 2016-11-29 International Business Machines Corporation SiARC removal with plasma etch and fluorinated wet chemical solution combination

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07273111A (ja) * 1994-03-31 1995-10-20 Kawasaki Steel Corp 多層配線構造を有する半導体装置の製造方法、及び、多層配線構造を有する半導体装置
JP4953825B2 (ja) * 2004-01-30 2012-06-13 アプライド マテリアルズ インコーポレイテッド 取外し可能ハードマスクを用いたレチクル製造
KR20070086783A (ko) * 2004-12-28 2007-08-27 도쿄 엘렉트론 가부시키가이샤 반도체 장치의 제조 방법
US20100216310A1 (en) * 2009-02-20 2010-08-26 Tokyo Electron Limited Process for etching anti-reflective coating to improve roughness, selectivity and CD shrink

Also Published As

Publication number Publication date
CN108885402A (zh) 2018-11-23
US20180197730A1 (en) 2018-07-12
US10115591B2 (en) 2018-10-30
CN108885402B (zh) 2020-01-14
WO2017151383A1 (en) 2017-09-08
KR102413039B1 (ko) 2022-06-23
TW201741761A (zh) 2017-12-01
TWI659259B (zh) 2019-05-11

Similar Documents

Publication Publication Date Title
KR102413039B1 (ko) 선택적 SiARC 제거
CN106154767B (zh) 使用收缩和生长来减小极紫外敏感度的方法
US9786503B2 (en) Method for increasing pattern density in self-aligned patterning schemes without using hard masks
KR102436638B1 (ko) Arc 층 에칭 동안의 거칠기 개선 및 선택비 향상을 위한 방법
KR101220073B1 (ko) 기판 상의 실리콘층을 에칭하는 방법, 기판 상의 실리콘층을 에칭하기 위한 플라즈마 처리 시스템 및 컴퓨터 판독가능한 매체
JP5577530B2 (ja) 六フッ化硫黄(sf6)および炭化水素ガスを用いた反射防止層のパターニング方法
KR101821056B1 (ko) 다중 패턴화 스킴에 대한 선택적 스페이서 에칭을 위한 방법 및 시스템
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
JP2010161162A (ja) 微細パターンの形成方法
US11243465B2 (en) Plasma treatment method to enhance surface adhesion for lithography
KR20090067146A (ko) 하프늄 함유 재료를 건식 에칭하기 위한 방법 및 시스템
WO2008086361A1 (en) Line end shortening reduction during etch
WO2009079284A1 (en) Method and system for reducing line edge roughness during pattern etching
CN103247525A (zh) 用于蚀刻有机硬掩膜的方法
KR20120082432A (ko) 기판에 실리콘 함유 아크층을 재작업하는 방법
TWI635545B (zh) 以選擇的蝕刻劑氣體混合物與操作變數之調變修整無機光阻
KR100989107B1 (ko) 다층 포토레지스트 건식 현상을 위한 방법 및 장치
CN108885977B (zh) 在集成方案的各个阶段期间进行图案化的修整方法
WO2018053487A1 (en) Method of in situ hard mask removal
WO2010110878A1 (en) Plasma etching method
JPS6258663A (ja) 半導体装置の製造方法
WO2007117743A2 (en) Method and system for dry development of a multi-layer mask using sidewall passivation and mask passivation

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant