TW201241873A - Methods and apparatus for controlling photoresist line width roughness - Google Patents

Methods and apparatus for controlling photoresist line width roughness Download PDF

Info

Publication number
TW201241873A
TW201241873A TW100138115A TW100138115A TW201241873A TW 201241873 A TW201241873 A TW 201241873A TW 100138115 A TW100138115 A TW 100138115A TW 100138115 A TW100138115 A TW 100138115A TW 201241873 A TW201241873 A TW 201241873A
Authority
TW
Taiwan
Prior art keywords
processing chamber
substrate
photoresist layer
disposed
line width
Prior art date
Application number
TW100138115A
Other languages
English (en)
Inventor
Banqiu Wu
Ajay Kumar
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of TW201241873A publication Critical patent/TW201241873A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32192Microwave generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • H01J37/32678Electron cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3343Problems associated with etching

Description

201241873 六、發明說明: 【發明所屬之技術領域】 本發明大體上關於用於控制光阻線寬粗糙度的設備與 方法’詳言之’關於用於半導體處理技術中控制光阻線 寬粗糙度的方法與設備。 【先前技術】 積體電路已進展至複雜的元件,該等複雜元件在單— 晶片上可包括數百萬個部件(例如電晶體、電容器與電 阻器)。晶片設計的進展持續需要更快的電路系統與更大 的電路在度。對於更大電路密度的需求使得在積體電路 部件的尺度上的減少成為必要之務。 當積體電路部件的π # / 例如減少至次微米尺 ΐ因此C構件放置在半導體積體電路上給定的區域 材精嫁且準確地轉移甚小的特徵結構至基 俞來众二’微影術(lith°graphy)製程已變得 至μ h ㈣確且準確㈣徵結構轉移 土 月望中具高解析度的微 合的光源,該光源可提供期望波長範_“要具有適 之用。再者,微的輕射以供暴露 UWR)最小的光阻線寬粗糙度 將特徵結構轉移到光阻層上 陷的光罩以將期望的 f要無缺 極端紫外光(e t L構轉移到光阻層上。最近, 于 Γ 尤(extreme uitrav;」* 輕射源已被用 4 201241873 於提供短的暴露波長,讀提供基材上更加減少的最小 可轉印尺寸。然而,在這麼小的尺度下,光阻層的邊緣 的粗糙度已變得愈來愈難以控制。 第1圖十田繪基材100的示範性頂部剖面視圖,該基材 100具有圖案化光阻層1G4,該光阻層⑽配置在待钱刻 的目標材料102上。開口106被界定在圖案化光阻層104 之間,易於暴露下伏的蝕刻用的目標材料102而轉移特 徵、構至目橾材料102上。然而,微影術暴露製程的不 準確控制或低解析度可能引發不良的光阻;| UM的臨界 尺度’因❿造成讓人無法接受的線寬㈣度(lwr)i〇8'。 光阻層104的大線寬粗链度(LWR) 108可能造成特徵 結構不準確地轉移到目標材料iQ2,故最後導致元件失 效及良率有所損失。 因此,需要-種方法與設備以控制及盡量減少線寬粗 糙度(LWR)’以便獲得具有期望臨界尺度的圖案化光阻 層。 【發明内容】 本心月提供用於控制及修飾光阻層的線寬粗糖度 (LWR )的方法與設備。一個實施例中’ 一種用於控制 -置;土材上的光阻層之線寬粗糖度的設備包括:腔室 主體,5亥腔至主體具有界定内部處理區域的頂壁、側壁 與底壁’微波功率產生器’該微波功率產生器透過波導 201241873 件(wa—) _該腔室主體;以及—或多個線圈或 磁體,該等線圈或磁體配置在該腔室主體的外周邊周圍 且鄰接該波導件;以及一翁、、盾j.. ^ 及轧源,该軋源透過氣體遞送通 路耦接該波導件。 另實施ί歹J中,一種用於控制配置於基材上的光阻層 之線寬粗經度的方法包括以下步驟:在處理腔室中生成 電场1¾處埋腔室具有基材,該基材配置於該處理腔室 中,其中該基材具有圖案化光阻層,該圖案化綠層配 置在該基材上’供應氣體混合物至.配置在該基材上的圖 案化光阻層;在該處理腔室中生成磁 作用,以在氣體混合物中形卿;以及;:形 理腔室中的電漿修整該圖案化光阻層的邊緣輪廓。 尚有另一實施例,其中一種用於控制配置於基材上的 光阻層m㈣度的方法包括以下步驟:供應氣體混 合物進入處理腔室,該處理腔室具有基材,該基材配置 於該處理腔室中,其中該基材具有圖案化光阻層,該圖 案化光阻層配置在該基材上;施加微波功率至該處理腔 至,以在該處理腔室中生成電場;施加DC或AC功率至 —或多個線圈或磁體以生成磁場,該等線圈或磁體配置 在該處理腔室的外周邊周圍;透過磁場與電場的交互作 用’在氣體混合物中形成電漿;以及使用形成在該處理 腔室中的電漿修整圖案化光阻層的邊緣輪靡。
【實施方式J 201241873 本發明的實施例包括用於控制配置在基材上的光阻層 之線寬粗糙度(LWR )的方法與設備。在暴露/顯影製程 之後透過在光阻層上執行電子迴旋共振(eleeti>Qn cyclotron resonance (ECR))電漿製程,可控制光阻層之 線寬粗糙度(LWR )。執行電子迴旋共振(ECR )電聚製 程是為了提供奈米等級的化學與電子研磨(grinding )製 程’以使光阻層圖案的邊緣平滑,因而使光阻層的平滑 圖案邊緣具有最小的圖案邊緣粗糙度以用於後續的蝕刻 製程。 第2圖描繪根據本發明的電子迴旋共振(ECR )電裝 反應器2 0 0的一個實施例之示意剖面圖,該反應器2 〇 〇 適合用於執行電子迴旋共振(ECR)電漿製程。一種適 合用於執行本發明的此類蝕刻反應器可購自美國加州 Santa Clara的應用材料公司。應考量在此也可運用其他 適合的電漿處理腔室,該等腔室包括購自其他製造商的 腔室。 電漿反應器200包括處理腔室252,該處理腔室252 具有腔室主體210。該處理腔室2 52是高真空容器,而 具有耦接至該容器的真空泵228。處理腔室252的腔室 主體210包括頂壁222、側壁224與底壁226,該等壁在 該腔室主體中界定内部處理區域2 1 2。側壁224的溫度 是透過使用含液體的導管(圖中未示)控制,該等導管 位在側壁224中及/或側壁224周圍。底壁226連接電接 地端23 0。 201241873 處理腔室252包括支撐底座214。該支撐底座214延 伸通過該處理腔室252的底壁226進入處理區域212。 支撐底座214可接收基材25〇以使該基材25〇配置於該 支撐底座214上以供處理。支撐底座214透過匹配網路 2 16 搞接射頻.(rf )偏壓功率源(bias p〇wer source) 218 而至電接地端232。偏壓功率源218大體上能夠產生RF 訊號,該RF訊號具有約50 kHz至約6〇 MHz的可調頻 率及約0至5 000瓦的偏壓功率。偏壓功率源2 i 8可視情 況為DC或脈衝式DC源。 微波功率產生器202透過波導件220將功率耦合至處 理腔室252的處理區域212。可在微波功率產生器2〇2 與波導件220之間配置介電窗2〇4。一個實施例中,介 電窗204可由石英玻璃、陶瓷材料或類似物所製造。 一或多個線圈段或磁體208 (圖中顯示為2〇8A ·與 208B )配置在處理腔室252的外周邊周圍。給予線圈段 或磁體208的功率是由DC功率源或低頻AC功率源(圖 中未示)所控制。線圈段或磁體2〇8大體上是以對稱樣 式(symmetric pattern )間隔開,並且以交替磁極的方式 排列(即,交替的北極(N)與南極(s))。配置在處理 腔室252周邊周圍的線圈段或磁體2〇8傾向將所生成的 電漿「推」向内部處理區域212中的圓圈區域的中間。 線圈段或磁體208生成位在垂直於電場方向上的磁場, 其中微波被導進該處理腔室252。線圈段或磁體2〇8可 包含永久磁體、電磁體或其他類似裝置,這些裝置能夠 201241873 生成磁場並且塑形内部處理區域212中所生成的場。氣 源206可耦接波導件22〇以遞送處理氣體進入處理腔室 252。磁場引發電子沿磁場線248盤旋運行(的…),同 時微波功率在電子純運行賴Η子能量。磁場與電 場之間的交互作用引發由氣源2〇6供應的氣體解離並且 形成電子迴旋共振(ECR )電聚。ECR電襞可包括磁場、 自由電荷(諸如電子與離子)、自由基或中性原子,該電 漿可自旋(spin)並且朝基材表面25〇移動。自旋電子 與來自氣體混合物的解離的離子或電荷結合,而可用環 繞模式(circular mode)沿磁場線248朝基材表面加速, 以便研磨基材表面上形成的結構。首先參考第3圖,第 3圖描繪磁場中的電子軌道302。因磁場可能引發電子在 内部處理區域212中沿磁場線3G4自旋及旋繞(_γ1), 該等電子可能以環繞運動3〇2朝基材表面移動。 在回參考第2圖’可沿處理腔室252的軸線縱向移動 線圈段或磁體208,以調整内部處理區域212中生成的 最大磁場的點的軸向位置。也可使用其他能夠生成充分 磁場強度以促進形成ECR電漿的磁場源。 基材處理期間,腔室2 5 2内部内的氣體壓力可被控制 在預定範圍中。一個實施例中,腔室252的内部處理區 域2内的氣體Μ力維持在約〇〗至9 9 9毫托。可將基 材250維持在介於約攝氏1〇度至約攝氏5〇〇度之間的溫 度0 控制器240包括中央處理單元(CPU) 244、記憶體242 201241873 以及支援電路246,該控制器250耦接反應器202的各 部件以助於控制本發明的製程。記憶體242可為任何電 腦可讀媒體,諸如隨機存取記憶體(RAM )、唯讀記憶體 (ROM )、軟碟機、硬碟機或任何其他型式、在反應器 202或CPU 244本地端或遠端的數位儲存器。支援電路 246耦接CPU 244以用習知方式支援cpu 244。這些電 路包括高速緩衝存儲器、功率源供應器、時脈電路、輸 入/輸出電路系統及次系統與類似物。當儲存在記憶體 242中的軟體常式或一系列的程式指令由cpu 244執行 時會引發反應器2 0 0執行本發明的電毁製程。 第2圖僅顯示可用於實行本發明的各類冑聚反應器的 一個示範性配置。例如,可使用不同的耦合機制將不同 種類的微波功率、磁功率與偏壓功率耦合進入電漿腔 室。一些應用中,可在與基材所處的腔室不同的腔室(例 如遠端電漿源)中生成不同種類的電漿,而接著使用此 技術領域中已知技術使該電漿受到引導進入該腔室。 第4圖說明根據本發明一個實施例的執行光阻線寬粗 糙度(LWR)控制製帛400的-個實施例的流程圖。製 程400可儲存在記憶體242中做為指令,該等指令是由 控制器240執行以引發在ECR電漿處理腔室中執行製程 400 ’該ECR電激處理腔室是諸如第2圖中所綠的咖 電漿反應器200或者其他適合的反應器。 製程400是於方塊術以提供基# (諸如綠於第2圖 的基材250 )至處理腔室252以供處理而開始。基材 10 201241873 可具有待钱刻的目標材才斗512,該目標材料5i2配置於 該基材250上’如第5圖所示。一個實施例中,使用光 阻線寬粗糙度(LWR)控制製程4〇〇的待蝕刻目標材料 512可以是介電層、金屬層、陶瓷材料或其他適合的材 料。一個貫施例中,待蝕刻的目標材料5〗2可以是形成 為半導體製造中所用的閘極結構或接觸結構或層間介電 結構(ILD )的介電材料。適合的介電材料之範例包括: Si02、SiON、SiN、SiC、Si0C、Si0CN、a_c 或類似物。 另一實施例中,待蝕刻的目標材料5丨2可以是形成為金 屬間介電結構(IMD )或其他適合結構的金屬材料。適 合的金屬層之範例包括Cu、A卜w、Ni、Cr或類似物。 在方塊404,可以在基材250上執行光阻線寬粗糙度 (LWR)控制製程400以研磨、修飾及修整光阻層514 的邊緣516,如第5圖所示。透過在ECR處理腔室中於 基材25〇上配置的光阻層si4上實施eCR電聚製程而執 行光阻線寬粗糙度(LWR )控制製程400 ^如前文所討 論,在ECR電漿製程中激發的電子是以環繞運動方式移 動及加速。如第5圖中所繪,電子的環繞移動5〇4可以 平滑地研磨、碰撞及磨光(polish away)光阻層514的 不均勻邊緣5 1 6。可連續地執行該製程,直到達成光阻 層5 14的期望程度的粗糙度(例如筆直,如虛線5丨〇所 示)為止。藉由良好地控制電子動量,光阻層514的不 均勻表面以及來自邊緣5 1 6的突出可以逐漸地被平拍 化’因而有效地將光阻線寬粗糙度(LWR )控制在期望 201241873 =最小範圍内。電子動量、離子密度、離子質量或電荷 濃度可由磁場與電場間交互作用所生成的功率以及所供 應的氣體控制。—個實施例中,藉由調整供應以生成微 波場與磁場的功率,可獲得不同的電子動量或遷移率。 在方塊406,於ECR電漿製程期間,可調整、研磨、 修飾、控制光阻層514的線寬粗糙度(LWR)。處理期間, 可控制數個製程參數以將光阻層514的線寬粗糖度維持 在期望範圍。—個實施例中’可供應約50瓦至約2〇〇〇 瓦間的微波功率至處理腔室。在處理腔室中生成的磁場 可以被控制在約500 G至約1〇〇〇G之間。可使用介於約 1〇〇瓦至約2〇00瓦之間的DC&/或AC功率以在處理腔 室中生成磁場。可以將處理腔室的壓力控制在約〇5毫 托至約5 00毫托之間。可供應處理氣體進入處理腔室, 以助於修飾、修整及控制光阻層514的邊緣粗糙度。因 為選以用於光阻層5 14的材料經常是有機材料,可選擇 含氧氣體做為被供應進入處理腔室的處理氣體而助於研 磨及修飾光阻層514的粗糙度與輪廓。適合的含氧氣體 之範例包括〇2、N2〇、N〇2、〇3、h2〇、c〇、c〇2與類似 物。也可同時或個別供應其他種類的處理氣體進入處理 腔室,以助於修飾光阻層514的粗糙度。適合的處理氣 體之範例包括N2、NH3、Ch或惰氣(諸如Ar或He)。 可以約10 seem至約500 sccm之間(例如約1〇〇 sccm至 約200 sccm之間)的流速供應處理氣體進入處理腔室。 可執行該製程達約30秒至約200秒之間。在一個特別的 12 201241873 貫施例t,供貞〇2氣體進人處理腔室做為處理氣體,以 與光阻層514反應,以便修整及修飾配置在基材25〇上 的光阻層5 1 4的線寬粗較度(LWR )。 如前文所討論,在-個實施例中,透過調整供應以生 成微波場與磁場的功率,可獲得*同的電子動量或遷移 率’因而提供不同的碰撞能量以修飾或修整光阻層的邊 緣粗糙度。在期望有更高電子移動動量的實施例中,可 供應更高的功率以生成微波場與磁場,因而提供更高的 碰撞能量以修飾或修整光阻層的粗糙度。相較之下,在 期望有更低電子移動動量的實施例中,可供應更低的功 率以生成微波場與磁場,因而提供更低的碰撞能量以僅 只如所需般溫和地平滑化及磨光光阻層的粗糙度而不蝕 刻下伏層。 可持續地執行光阻線寬粗糙度(LWR)控制製程4〇〇, 直到達成期望的光阻層514的最小粗糙度為止。在一個 實施例中,光阻層514的線寬粗糙度513可以被控制在 低於約3.0nm的範圍中,諸如介於約丨〇nm與約丨 之間。可在抵達終點訊號(該訊號指示達成期望的光阻 層514之粗糙度)之後,終結光阻線寬粗糙度(lwr) 控制製程400。或者,可透過預設時間模式終結光阻線 寬粗糙度(LWR)控制製程400。一個實施例中,可執 行光阻線寬粗糙度(LWR)控制製程4〇〇達約1〇〇秒之 間及約500秒之間。 第6圖描繪已在上面執行光阻線寬粗糙度(lwr)控 13 201241873 制製程4〇〇的光阻芦 β 5 1 4之。面視圖的一示範性實施 例°在光阻線寬粗經度(Lwr )如^ Α丨也』 控制製程400之後,獲 得平滑的邊緣表面。光阻芦 尤丨居514的粗糙度以一種方式受 到平滑化及修整,該方弋愈旦、士 ,, ' "方式盡里減少光阻層514的邊緣粗 ‘度並且使光阻層514的邊緣形態平滑。在光阻層5 μ 中Φ成的平^邊緣表面在圖案化光阻層$ Μ中界定銳利 且界定良好的開口 604,以異* nr △ ^ 4以暴路下伏的目標材料512以 供蝕刻’因而蝕刻精確且筆直的開口寬度606以待形成 為遮罩層。在-個實施例中,開σ 6〇4的寬度6〇6可被 控制在約15 nm至約35 nm之間。 個貫施例中,可藉由一蝕刻製程蝕刻下伏的目標材 料512,該㈣製程是在與用於執行線寬祕度(lwr) 控制製程相同的腔室(諸如繪於第2圖的腔室2〇〇)中 執行另I細例中’可藉由-蝕刻製程蝕刻下伏的目 標材料512,該蝕刻製程是於整合在群集系統中的任何 -他不同的適合的蝕刻腔室中執行’線寬粗糙度(lwr ) 處理腔室可併至該群集系統中。尚有另—實施例,其中 可藉由一蝕刻製程蝕刻下伏的目標材料5 U,該蝕刻製 程是在任何其他不@的適合的钱刻腔室巾執行,該等兹 刻腔至包括單獨自立(stand_ai〇ne )的腔室,該等單獨 自立的腔至與線寬粗糙度(LWR )處理腔室分開或與可 將線寬粗糙度(LWR)處理腔室併入的群集系統分開。 個實施例中,用於執行線寬粗糙度(LWR )製程的 氣體混合物配置成有別於用於蝕刻下伏目標材料512的 14 201241873 軋體混合物。—個實施财 製程的氣_合物包括含氧層(\=丁=«度(戰) 下伏目標材料512的氣體混合物包二)音=於钱刻 氟碳氣體、含氯氣體、含演氣體、人括二素氣:(諸如 田 3氟軋體、類似物)。 产(TO發明提供用於控制與修飾光阻層的線寬粗糙 = = 法與設備。該方法與設備可以有利地在 、路之後控制、㈣與修整配置在基材上的 輪廊、線寬粗糖度與尺度,因而提供準確的光 層中開口的臨界尺度控制,於是後續的姓刻製程可擁 有針對下伏層(該下伏層是透過開口而受到姓刻)的準 確的轉移臨界尺度。 月J文所述者為涉及本發明的實施例,可不背離本發明 基本範缚而設計本發明其他與進—步的實施例,本發明 的範疇由下文中的申請專利範圍所決定。 【圖式簡單說明】 藉由參考附圖中所說明的本發明實施例,可獲得於發 明内容中簡要總結的本發明之更詳細的說明,而能詳細 瞭解及達成本發明於發明内容中所記載的特徵。 第1圖描繪此技術領域中習知上配置在基材上的圖案 化光阻層之示範結構的頂視圖; 第2圖描繪根據本發明的一個實施例所用的電子迴旋 共振(ECR.)電漿反應器之示意剖面視圖; 15 201241873 第3圖描繪根據本發 — + n ^ 個只施例的電子軌道圖; 第4圖描繪根據本發明—
n 個貫鉍例在基材上執行ECR 電漿製程的製程流程圖; 第5圖描繪根據本發明— x ^ 個貫施例的鄰近光阻層行進 的電子執道頂視圖;以及 第6圖也繪根據本發明_個實施例的配置於基材上的 光阻層之線寬粗糖度的輪廊。 為了助於瞭解,如可能則使用相同元件符號指定共通 於各圖的相同元件。應慮及一個實施例的元件與特徵可 有利地結合其他實施例而無須進一步記敘。 然而應注意附圖僅說明此發明的示範性實施例,而不 應將該等附圖視為限制本發明之範齊,因為本發明可容 許其他等效實施例。 【主要元件符號說明】 204介電窗 206氣源 208線圈段或磁體 2 1 0腔室主體 2 1 2内部處理區域 2 1 4支樓底座 2 1 6匹配網路 2 1 8偏壓功率源 100基材 102目標材料 104光阻層 106 開口 108線寬粗糙度 200 電子迴旋共振 (ECR)電漿反應器 202微波功率產生器 16 201241873 220 波導件 250 基材表面 222 頂壁 252 處理腔室 224 側壁 302 電子軌道 226 底壁 304 磁場線 228 真空泵 400 製程 230 電接地端 402-406 步驟 232 電接地端 504 環繞移動 240 控制器 5 10 虛線 242 記憶體 5 12 目標材料 244 中央處理單元 5 14 光阻層 (CPU) 5 16 不均勻的邊緣 246 支援電路 604 開口 248 磁場線 17

Claims (1)

  1. 201241873 七、申請專利範圍: 1. 一種用於控制配置於一基材上的一光阻層之一線寬 粗糙度的設備,包括: 一腔室主體’该腔室主體具有界定一内部處理區 域的一頂壁、側壁與一底壁; 一微波功率產生器,該微波功率產生器透過一波 導件(waveguide )輕接該腔室主體;以及 一或多個線圈或磁體’该專線圈或磁體配置在該 腔室主體的一外周邊周圍且鄰接該波導件;以及 一氣源,該氣源透過一氣體遞送通路耦接該波導 件0 2. 如請求項1所述的設備,進一步包含: —基材支撐組件’該基材支撐組件配置在該腔室 主體中延伸通過該腔室主體的該底壁。 3. 如請求項2所述的設備,進一步包含: —RF偏壓功率,該RF偏壓功率耦接該基材支撐 組件。 4. 如請求項1所述的設備,進-步包含: "電匈,s亥介電窗配置在該微波功率產生器與 該波導件之間。 18 201241873 5. 如清求項丨所述的設備,其中該等線圈或磁體是永久 磁體或電磁體。 6. 如請求項!所述的設備,其中該處理腔室耦接接地端。 7. 如請求項3所述的設備,其中耦接該基材支撐組件的 β RF偏壓功率躺接接地端。 8 ·種用於控制配置於一基材上的一光阻層之線寬粗 糖度的方法,包括以下步驟: 在一處理腔室中生成一電場,該處理腔室具有— 基材’該基材配置於該處理腔室中,其中該基材具有 一圖案化光阻層’該圖案化光阻層配置在該基材上; 供應一氣體混合物至配置在該基材上的該圖案 化光阻層; 在s亥處理腔室中生成一磁場以與該電場交互作 用’以在該氣體混合物中形成一電漿;以及 以形成在該處理腔室中的該電漿修整該圖案化 光阻層的一邊緣輪廓。 9.如睛求項8所述的方法,其中生成該電場進一步包含 以下步驟: 施加一微波功率至該處理腔室以生成該電場。 19 201241873 1 0.如請求項8所述的方法’其中生成該磁場進一少包含 以下步驟: 施加一 DC或AC功率至一或多個線圈或磁艘以 生成該磁場,該等線圈或磁體配置在該處理腔室的该 外周邊周圍。 11. 如請求項1〇所述的方法,其中該等線圈或磁體是永 久磁體或電磁體。 12. 如請求項8所述的方法,其中供應該氣體混合物進/ 步包含以下步驟: 供應一含氧氣體進入該處理腔室.。 13. 如請求項12所述的方法’其中該含氧氣體是〇2。 14·如請求項8所述的方法,其中修整該圖案化光阻層的 該邊緣輪廓進一步包含以下步驟: 調整在該處理腔室中所生成的該磁場與該電場 之間的該交互作用。 15·如請求項14所述的方法,其中調整該交互作用進— 步包含以下步驟: 控制該光阻層的該邊緣輪廓具有低於 v J -u nm 20 201241873 的一線寬粗链度。 16.如請求項8所述的方法,進一步包含以下步驟: 透過配置在該處理腔室中的一基材支撐組件供 應一 RF偏壓功率給該基材。 1 7.如請求項8所述的方法,進—步包含以下步驟: 使用該修整過的圖案化光阻層做為一蝕刻遮罩 而姓刻該基材。 1 8. —種用於控制配置於—基材上的一光阻層之線寬粗 糙度的方法,包括以下步驟: 供應一氣體混合物進入一處理腔室,該處理腔室 具有一基材,該基材配置於該處理腔室中,其中該基 材具有一圖案化光阻層,該圖案化光阻層配置在該基 材上; 施加一微波功率至該處理腔室,以在該處理腔室 中生成一電場; 施加DC或AC功率至一或多個線圈或磁體以生 成°亥磁場,該等線圈或磁體配置在該處理腔室的一外 周邊周圍; 透過6亥磁場與該電場的交互作用,在該氣體混合 物中形成一電漿;以及 使用形成在該處理腔室中的該電漿修整該圖案 21 201241873 化光阻層的一邊緣輪廓。 19. 如請求項1 8所述的方法,其中修整該圖案化光阻層 的該邊緣輪廓進一步包含以下步驟: 控制該光阻層的該邊緣輪廓具有低於約3.0 nm 的一線寬粗链度。 20. 如請求項1 8所述的方法,其中供應該氣體混合物進 一步包含以下步驟: 供應一含氧氣體進入該處理腔室。 22
TW100138115A 2010-10-27 2011-10-20 Methods and apparatus for controlling photoresist line width roughness TW201241873A (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US40720910P 2010-10-27 2010-10-27

Publications (1)

Publication Number Publication Date
TW201241873A true TW201241873A (en) 2012-10-16

Family

ID=45994639

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100138115A TW201241873A (en) 2010-10-27 2011-10-20 Methods and apparatus for controlling photoresist line width roughness

Country Status (6)

Country Link
US (1) US9039910B2 (zh)
JP (1) JP2013542613A (zh)
KR (1) KR20130141550A (zh)
CN (1) CN103180932A (zh)
TW (1) TW201241873A (zh)
WO (1) WO2012057967A2 (zh)

Families Citing this family (345)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
WO2012057967A2 (en) * 2010-10-27 2012-05-03 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US8709706B2 (en) * 2011-06-15 2014-04-29 Applied Materials, Inc. Methods and apparatus for performing multiple photoresist layer development and etching processes
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9064808B2 (en) * 2011-07-25 2015-06-23 Synopsys, Inc. Integrated circuit devices having features with reduced edge curvature and methods for manufacturing the same
US8609550B2 (en) 2011-09-08 2013-12-17 Synopsys, Inc. Methods for manufacturing integrated circuit devices having features with reduced edge curvature
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
JP2014112644A (ja) * 2012-11-06 2014-06-19 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
CN104347392A (zh) * 2013-08-05 2015-02-11 中芯国际集成电路制造(上海)有限公司 图形化方法
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9343308B2 (en) * 2013-10-28 2016-05-17 Asm Ip Holding B.V. Method for trimming carbon-containing film at reduced trimming rate
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9377692B2 (en) 2014-06-10 2016-06-28 Applied Materials, Inc. Electric/magnetic field guided acid diffusion
US9366966B2 (en) 2014-07-10 2016-06-14 Applied Materials, Inc. Electric/magnetic field guided acid profile control in a photoresist layer
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10095114B2 (en) 2014-11-14 2018-10-09 Applied Materials, Inc. Process chamber for field guided exposure and method for implementing the process chamber
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9735028B2 (en) 2015-03-12 2017-08-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming semiconductor device structure with fine line pitch and fine end-to-end space
US9823570B2 (en) 2015-04-02 2017-11-21 Applied Materials, Inc. Field guided post exposure bake application for photoresist microbridge defects
US9829790B2 (en) * 2015-06-08 2017-11-28 Applied Materials, Inc. Immersion field guided exposure and post-exposure bake process
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US10203604B2 (en) 2015-11-30 2019-02-12 Applied Materials, Inc. Method and apparatus for post exposure processing of photoresist wafers
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
CN108550520A (zh) * 2018-04-27 2018-09-18 武汉新芯集成电路制造有限公司 一种改善存储区浅槽线平整度的方法
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11139402B2 (en) 2018-05-14 2021-10-05 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11037765B2 (en) * 2018-07-03 2021-06-15 Tokyo Electron Limited Resonant structure for electron cyclotron resonant (ECR) plasma ionization
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2022518411A (ja) 2019-01-18 2022-03-15 アプライド マテリアルズ インコーポレイテッド 電界誘導フォトレジストパターン形成工程のためのフィルム構造
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11264458B2 (en) 2019-05-20 2022-03-01 Synopsys, Inc. Crystal orientation engineering to achieve consistent nanowire shapes
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
US11429026B2 (en) 2020-03-20 2022-08-30 Applied Materials, Inc. Lithography process window enhancement for photoresist patterning
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220112135A (ko) * 2021-02-03 2022-08-10 에스케이하이닉스 주식회사 극자외선광 및 전기장을 이용한 레지스트 패턴 형성 방법 및 장치
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4778561A (en) 1987-10-30 1988-10-18 Veeco Instruments, Inc. Electron cyclotron resonance plasma source
JPH0216732A (ja) 1988-07-05 1990-01-19 Mitsubishi Electric Corp プラズマ反応装置
US5133826A (en) 1989-03-09 1992-07-28 Applied Microwave Plasma Concepts, Inc. Electron cyclotron resonance plasma source
US5366586A (en) 1992-02-03 1994-11-22 Nec Corporation Plasma formation using electron cyclotron resonance and method for processing substrate by using the same
JP3147595B2 (ja) 1993-07-22 2001-03-19 富士電機株式会社 電磁波検出装置
JPH07201820A (ja) 1993-12-28 1995-08-04 Fujitsu Ltd 水銀カドミウムテルル基板のエッチング方法
JP3258240B2 (ja) * 1996-09-10 2002-02-18 株式会社日立製作所 エッチング方法
JPH11297673A (ja) 1998-04-15 1999-10-29 Hitachi Ltd プラズマ処理装置及びクリーニング方法
KR20010063725A (ko) * 1999-12-24 2001-07-09 박종섭 반도체 소자 제조용 포토레지스트 패턴의 선폭 조절방법
EP1178134A1 (fr) * 2000-08-04 2002-02-06 Cold Plasma Applications C.P.A. Procédé et dispositif pour traiter des substrats métalliques au défilé par plasma
JP3764639B2 (ja) 2000-09-13 2006-04-12 株式会社日立製作所 プラズマ処理装置および半導体装置の製造方法
US20030045098A1 (en) * 2001-08-31 2003-03-06 Applied Materials, Inc. Method and apparatus for processing a wafer
WO2003021642A2 (en) * 2001-08-31 2003-03-13 Applied Materials, Inc. Method and apparatus for processing a wafer
CN1682353A (zh) * 2002-09-18 2005-10-12 马特森技术公司 去除材料的系统和方法
US7875420B2 (en) 2003-06-06 2011-01-25 Tokyo Electron Limited Method for improving surface roughness of processed film of substrate and apparatus for processing substrate
US7005227B2 (en) 2004-01-21 2006-02-28 Intel Corporation One component EUV photoresist
JP2006147449A (ja) 2004-11-24 2006-06-08 Japan Aerospace Exploration Agency 高周波放電プラズマ生成型二段式ホール効果プラズマ加速器
WO2006081534A1 (en) 2005-01-28 2006-08-03 Micell Technologies, Inc. Compositions and methods for image development of conventional chemically amplified photoresists
JP4302065B2 (ja) 2005-01-31 2009-07-22 株式会社東芝 パターン形成方法
US20070049048A1 (en) * 2005-08-31 2007-03-01 Shahid Rauf Method and apparatus for improving nitrogen profile during plasma nitridation
US7662718B2 (en) * 2006-03-09 2010-02-16 Micron Technology, Inc. Trim process for critical dimension control for integrated circuits
TWI305826B (en) 2006-12-13 2009-02-01 Ind Tech Res Inst Method for correlating the line width roughness of gratings and method for measurement
JP5108489B2 (ja) * 2007-01-16 2012-12-26 株式会社日立ハイテクノロジーズ プラズマ処理方法
US8753804B2 (en) * 2008-03-11 2014-06-17 Lam Research Corporation Line width roughness improvement with noble gas plasma
JP5128421B2 (ja) * 2008-09-04 2013-01-23 東京エレクトロン株式会社 プラズマ処理方法およびレジストパターンの改質方法
NL2004085A (en) 2009-03-11 2010-09-14 Asml Netherlands Bv Radiation source, lithographic apparatus, and device manufacturing method.
WO2012057967A2 (en) * 2010-10-27 2012-05-03 Applied Materials, Inc. Methods and apparatus for controlling photoresist line width roughness

Also Published As

Publication number Publication date
KR20130141550A (ko) 2013-12-26
US9039910B2 (en) 2015-05-26
JP2013542613A (ja) 2013-11-21
CN103180932A (zh) 2013-06-26
US20120103939A1 (en) 2012-05-03
WO2012057967A2 (en) 2012-05-03
WO2012057967A3 (en) 2012-06-21

Similar Documents

Publication Publication Date Title
TW201241873A (en) Methods and apparatus for controlling photoresist line width roughness
US9911582B2 (en) Methods and apparatus for controlling photoresist line width roughness with enhanced electron spin control
KR102510737B1 (ko) 원자층 에칭 방법
TWI594087B (zh) 光阻遮罩之處理方法及半導體裝置製造方法
US8334083B2 (en) Etch process for controlling pattern CD and integrity in multi-layer masks
KR101713330B1 (ko) Sf6 및 탄화수소를 이용하여 arc층을 패터닝하는 방법
US7449414B2 (en) Method of treating a mask layer prior to performing an etching process
US7998872B2 (en) Method for etching a silicon-containing ARC layer to reduce roughness and CD
TW201250778A (en) Methods and apparatus for performing multiple photoresist layer development and etching processes
JP2009545890A (ja) Rf変調によって弾道電子ビームの均一性を制御する方法及びシステム
KR102328025B1 (ko) 서브-해상도 스케일들로 상이한 임계 치수들을 패터닝하기 위한 방법
JP5271267B2 (ja) エッチング処理を実行する前のマスク層処理方法
US7572386B2 (en) Method of treating a mask layer prior to performing an etching process
US7642193B2 (en) Method of treating a mask layer prior to performing an etching process
JP3430801B2 (ja) プラズマ発生装置およびこれを用いたドライエッチング方法
TW200302694A (en) Etching method and etching device
JPH05267245A (ja) 半導体装置の製造方法
JPH04268727A (ja) ドライエッチング方法およびドライエッチング装置