TWI738647B - 被蝕刻層之蝕刻方法 - Google Patents

被蝕刻層之蝕刻方法 Download PDF

Info

Publication number
TWI738647B
TWI738647B TW105111157A TW105111157A TWI738647B TW I738647 B TWI738647 B TW I738647B TW 105111157 A TW105111157 A TW 105111157A TW 105111157 A TW105111157 A TW 105111157A TW I738647 B TWI738647 B TW I738647B
Authority
TW
Taiwan
Prior art keywords
gas
etched layer
frequency bias
etched
etching
Prior art date
Application number
TW105111157A
Other languages
English (en)
Other versions
TW201705270A (zh
Inventor
丸山幸兒
輿石公
芳賀俊雄
堀口將人
加藤誠人
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201705270A publication Critical patent/TW201705270A/zh
Application granted granted Critical
Publication of TWI738647B publication Critical patent/TWI738647B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32871Means for trapping or directing unwanted particles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

本發明之課題係提供被蝕刻層之蝕刻方法。 本發明之解決手段係在一實施形態之方法中,在吸附步驟中,不對下部電極施加高頻偏壓,使由處理氣體產生之自由基吸附在被蝕刻層上。接著在蝕刻步驟中,對下部電極施加高頻偏壓,將由處理氣體產生之離子引入被蝕刻層中。交互地重複吸附步驟及蝕刻步驟。在吸附步驟中,自由基密度為離子密度之200倍以上。在蝕刻步驟中,供給0.07W/cm2 以下之功率密度的RF能量至下部電極,或在0.5秒以下之期間,供給0.14W/cm2 以下之功率密度的高頻偏壓至下部電極。

Description

被蝕刻層之蝕刻方法
本發明之實施形態係關於被蝕刻層之蝕刻方法。
製造電子裝置時,對被處理體之被蝕刻層進行電漿蝕刻。電漿蝕刻要求在面內均一地蝕刻被蝕刻層,即面內均一性。
習知之用以實現電漿蝕刻之面內均一性的方法係以原子層級蝕刻被蝕刻層的原子層蝕刻方法,即ALE法。在ALE法中,在電漿處理裝置之處理容器內,進行使由第一處理氣體產生之蝕刻劑吸附在被蝕刻層上的處理(以下,稱為「第一處理」)。接著,進行用以將處理容器內之氣體由第一處理氣體置換成第二處理氣體的處理(以下,稱為「第二處理」)。接著,進行產生第二處理氣體之電漿,並將離子引入被蝕刻層之處理(以下,稱為「第三處理」)。接著,進行用以將處理容器內之氣體由第二處理氣體置換成第一處理氣體的處理(以下,稱為「第四處理」)。在ALE法中,重複實行包含該等第一至第四之處理的程序。如此之ALE法記載於例如日本特開平3-263827號公報中。 [先前技術文獻] [專利文獻]
[專利文獻1]日本特開平3-263827號公報
[發明所欲解決的問題] 如上所述,在ALE法中,在第二處理及第四處理中進行氣體之置換。通常,第二處理及第四處理各自需要短但亦有數10秒的時間。在ALE法中,由於反複實行包含如此之第二處理及第四處理的程序,蝕刻被蝕刻層所需之時間變得很長。因此,需要使蝕刻被蝕刻層所需之時間縮短。 [解決問題的手段]
在一態樣中,提供一種被處理體之被蝕刻層的蝕刻方法。該方法包含以下步驟:(a)在電漿處理裝置之處理容器內將被處理體載置於具有下部電極之載置台上;(b)不對下部電極施加高頻偏壓,使由處理氣體產生之自由基吸附在被蝕刻層上(以下,稱為「吸附步驟」);及(c)對下部電極施加高頻偏壓,將由處理氣體產生之離子引入被蝕刻層中,並與吸附自由基之前述步驟連續地進行(以下,稱為「蝕刻步驟」)。在該方法中,交互地重複吸附步驟及蝕刻步驟。此外,在吸附步驟中,配置被處理體之處理容器內的空間中之自由基密度設定為該空間中之離子密度的200倍以上之密度。另外,在蝕刻步驟中,供給0.07W/cm2 以下之功率密度的高頻偏壓至下部電極,或在0.5秒以下之期間,供給0.14W/cm2 以下之功率密度的高頻偏壓至下部電極。再者,在蝕刻步驟中供給0.07W/cm2 以下之功率密度的高頻偏壓至下部電極的期間可設定為2秒以下之期間。
在一態樣之方法的吸附步驟中,在未供給高頻偏壓至下部電極之狀態下,對被處理體供給自由基。在該吸附步驟中,自由基吸附(例如,物理地吸附)在被蝕刻層之表面上。接著在蝕刻步驟中,藉由高頻偏壓產生與在吸附步驟中使用之處理氣體相同之處理氣體的電漿,並藉由該高頻偏壓將離子引入被蝕刻層中。在該蝕刻步驟中,在例如2秒以下之期間供給0.07W/cm2 以下之功率密度的高頻偏壓至下部電極,或在0.5秒以下之期間供給0.14W/cm2 以下之功率密度的高頻偏壓至下部電極。藉由供給該高頻偏壓,只實質地蝕刻吸附有自由基之被蝕刻層之一部分。因此,依據該方法,可與ALE同樣地蝕刻被蝕刻層。此外,在該方法中,由於在吸附步驟及蝕刻步驟中使用相同之處理氣體,在吸附步驟與蝕刻步驟之間,可不進行氣體之置換,而連續地實行該等吸附步驟及蝕刻步驟。因此,可縮短蝕刻被蝕刻層所需之時間。
在一實施形態中,可在實行蝕刻步驟期間,固定設於產生高頻偏壓之高頻電源與下部電極間之匹配器的阻抗。一般而言,由於電漿處理裝置之匹配器進行阻抗匹配動作,到供給負載之高頻偏壓的功率穩定為止需要時間。另一方面,在該實施形態之蝕刻步驟中,固定匹配器之阻抗。因此,可抑制開始供給高頻偏壓後之高頻偏壓功率的變動。結果,在該實施形態中,可縮短蝕刻步驟之期間。此外,在一實施形態之蝕刻步驟中,可固定匹配器之可變電元件的可變參數。
在一實施形態中,電漿處理裝置可在載置台上方具有離子阱。在該實施形態中,在相對離子阱與配置載置台之側相反的側產生處理氣體之電漿,且自由基通過離子阱並供給至被蝕刻層。 [發明的功效]
如以上說明地,可使蝕刻被蝕刻層所需之時間縮短。
以下,參照圖式詳細說明各種實施形態。此外,在各圖式中對同一或相當之部分附加同一符號。
圖1係顯示一實施形態之被蝕刻層之蝕刻方法的流程圖。圖1所示之方法MT係蝕刻被處理體之被蝕刻層。圖2係舉例顯示可使用方法MT之被處理體的剖面圖。以下,被處理體稱為晶圓W。圖2所示之晶圓W具有基板SB、被蝕刻層EL及遮罩MSK。被蝕刻層EL設在基板SB上。被蝕刻層EL係藉由實施方法MT所蝕刻之層。該被蝕刻層EL之膜種係任意之膜種。例如,被蝕刻層EL可為有機膜、矽膜、氧化矽膜、或氮化矽膜。遮罩MSK設於被蝕刻層EL上。遮罩MSK係由為了對該遮罩選擇地蝕刻被蝕刻層EL所選擇的材料構成。例如,被蝕刻層EL係有機膜時,遮罩MSK可由包含矽之材料構成。
請再參閱圖1,在方法MT中,首先實行步驟ST1。在步驟ST1中,將晶圓W搬入電漿處理裝置之處理容器內,並載置於設在該處理容器內之載置台上。圖3係概略地顯示可用以實施方法MT之電漿處理裝置的圖。
圖3所示之電漿處理裝置10具有處理容器12。處理容器12提供包含後述第一空間S1及第二空間S2之內部空間。在一實施形態中,第二空間S2位於第一空間S1上方。處理容器12係由例如鋁之類的導電性材料構成,且面向處理容器12之內部空間的內面設有具有耐電漿性之被覆,例如,氧化釔皮膜。該處理容器12電性地接地。此外,處理容器12之側壁形成有用以搬送晶圓W之開口OP。該開口OP可藉由閘閥開關。
處理容器12之第一空間S1中設有載置台14。在一實施形態中,藉由從處理容器12之底部延伸的絕緣性支持構件16支持載置台14。此外,載置台14之外周面被絕緣性構件17、18覆蓋。
載置台14包含下部電極20及靜電吸盤22。下部電極20包含第一構件20a及第二構件20b。第一構件20a及第二構件20b均由如鋁之類的導電性材料構成,且具有大略圓盤形。第一構件20a透過第一匹配器MU1連接第一高頻電源LFS。第一高頻電源LFS係產生高頻偏壓LF之電源。高頻偏壓LF之頻率係在例如400kHz至27.12MHz之範圍內的頻率。第一匹配器MU1具有第一高頻電源LFS之輸出阻抗、及用以匹配負載側之輸入阻抗的匹配電路。該匹配電路包含可變電元件,例如,可變電容元件及/或可變電感元件。就第一匹配器MU1之阻抗匹配動作而言,可依據負載阻抗之監測結果,藉由匹配控制器自動地控制可變電元件之可變參數,例如,可變電容及/或可變電感。
第二構件20b設於第一構件20a上,且電性地連接於該第一構件20a。該第二構件20b可形成有流路,亦可使冷媒在該流路與冷卻器單元間循環。
靜電吸盤22設於第二構件20b上。靜電吸盤22具有介電體、及設於介電體內之電極。靜電吸盤22之電極透過開關SW連接電源24。電源24係例如直流電源。若由該電源24施加電壓至靜電吸盤22之電極,靜電吸盤22產生靜電力,接著藉由該靜電力吸附晶圓W,並保持該晶圓W。此外,靜電吸盤22之內部亦可埋入加熱器。
處理容器12之底部連接於排氣管26。該排氣管26連通於第一空間S1,且,連接於排氣裝置28。排氣裝置28具有如壓力調整閥之類的壓力調整器、及如渦輪分子泵、乾式泵之類的減壓泵。藉由該排氣裝置28,可調整處理容器12之內部空間的壓力。
此外,電漿處理裝置10係組配成由氣體供給部30供給處理氣體至第二空間S2。氣體供給部30可具有多數氣體源、多數閥及如質量流控制器之類的多數流量控制器。多數氣體源係構成處理氣體之多數種氣體源。多數氣體源透過多數閥中對應之閥、及多數流量控制器中對應之流量控制器連接配管32。該配管32連通於第二空間S2。
第二空間S2係由其側方藉由處理容器12界定而成。處理容器12在其上端提供開口。該開口可藉由窗構件34關閉。窗構件34係由如石英或氧化鋁(Al2 O3 )之類的介電體構成。在該窗構件34上,且,在處理容器12之外部設有線圈36。該線圈36透過第二匹配器MU2連接第二高頻電源HFS。第二高頻電源HFS產生用以激發處理氣體之高頻波(High Frequency Wave)。藉由第二高頻電源HFS產生之高頻波HF的頻率可為例如40MHz或60MHz。第二匹配器MU2具有第二高頻電源HFS之輸出阻抗、及用以匹配負載側之輸入阻抗的匹配電路。該匹配電路與第一匹配器MU1之匹配電路同樣地包含可變電元件,例如,可變電容元件及/或可變電感元件。就第二匹配器MU2之阻抗匹配動作而言,亦可依據負載阻抗之監測結果,藉由匹配控制器自動地控制可變電元件之可變參數,例如,可變電容及/或可變電感。
電漿處理裝置10在第二空間S2中激發處理氣體。藉此,在第二空間S2中,產生構成處理氣體之原子或分子之離子或自由基。電漿處理裝置10在第一空間S1與第二空間S2之間設有離子阱40,以便捕捉在第二空間S2中產生之離子。該離子阱40之下面與靜電吸盤22之上面間的距離GP係例如20mm以上之距離。
離子阱40係由如金屬之類的導電性材料、或如石英、氧化鋁(Al2 O3 )之類的絕緣性材料構成,且具有大略圓盤形。離子阱40電性地連接於該處理容器12,以便具有與處理容器12相同之電位。離子阱40形成有多數孔40h。第一空間S1及第二空間S2只透過多數孔40h連通。該電漿處理裝置10在相對離子阱40與配置載置台14之側相反的側,即,在第二空間S2中產生處理氣體之電漿。在第二空間S2中產生之自由基透過多數孔40h供給至第一空間S1,但在第二空間S2中產生之大部份離子被該離子阱40捕捉。
電漿處理裝置10更具有控制部42。控制部42可為如記憶體之類的記憶裝置、輸入裝置、顯示裝置、及具有如CPU之類的處理器的電腦裝置。控制部42依據記憶於記憶裝置中之程式庫控制電漿處理裝置10之各部。例如,控制部42依據用以實施方法MT之程式庫控制電漿處理裝置10之各部。藉此,對晶圓W進行根據程式庫之電漿處理。
請再參閱圖1,以使用電漿處理裝置10實施之情形為例,詳細地說明方法MT。在以下之說明中,除了圖1以外,亦請參閱圖4。圖4係關於方法MT中之高頻波、高頻偏壓及處理氣體的時間圖。在圖4中,高頻波HF為ON之情形表示供給高頻波HF至線圈36,且高頻波HF為OFF之情形表示未供給高頻波HF至線圈36。此外,高頻偏壓LF為ON之情形表示供給高頻偏壓LF至下部電極20,且高頻偏壓LF為OFF之情形表示未供給高頻偏壓LF至下部電極20。此外,處理氣體為「H」之情形表示供給處理氣體至處理容器12內,且,處理氣體為「L」之情形表示未供給處理氣體至處理容器12內。
如上所述,在方法MT之步驟ST1中,晶圓W被搬入電漿處理裝置10之處理容器12內。接著,藉由靜電吸盤22保持晶圓W。將晶圓W載置在靜電吸盤22上後,在方法MT中,交互地重複步驟ST2及步驟ST3。即,在方法MT中,進行多數次各自包含步驟ST2及步驟ST3之程序。
在步驟ST2中,使由處理氣體產生之自由基吸附在被蝕刻層EL上。因此,在步驟ST2中,供給處理氣體至第二空間S2。如圖4所示,在初次步驟ST2開始之時間t1前開始供給處理氣體。在方法MT中,接著,到該方法MT結束為止,繼續供給處理氣體。
被蝕刻層EL為有機膜時,處理氣體可包含例如氫氣(H2 氣體)、氮氣(N2 氣體)、及稀有氣體。稀有氣體可為例如Ar氣體。此外,稀有氣體可使用如He氣體、Ne氣體、Kr氣體之類的任意稀有氣體。該處理氣體可包含氨(NH3 )氣及/或氧(O2 )氣,取代氫氣及氮氣,或,除了氫氣及氮氣以外,另包含氨(NH3 )氣及/或氧(O2 )氣。另外,被蝕刻層EL為矽膜時,處理氣體可包含如溴化氫氣體、氯氣之類的含鹵素氣體及稀有氣體。再者,被蝕刻層EL為矽氧化膜時,處理氣體可包含氟碳氣體及稀有氣體。此外,被蝕刻層EL為氮化矽膜時,處理氣體可包含氟氫碳氣體(CX HY FZ ,X、Y及Z係1以上之整數)及/或氟碳氣體(CX FY ,X及Y係1以上之整數)及稀有氣體。
此外,在實行步驟ST2之期間(圖4所示之時間t1至t2及時間t3至t4),由第二高頻電源HFS供給高頻波HF至線圈36。藉此,在第二空間S2中激發處理氣體,並產生離子及自由基。另外,在該步驟ST2中,未由第一高頻電源LFS供給高頻偏壓LF至下部電極20。
藉由實行步驟ST2,在第二空間S2中產生之大部份離子被離子阱40捕捉。另一方面,自由基通過離子阱40之多數孔40h進入第一空間S1。在步驟ST2中,供給至第一空間S1之自由基吸附在被蝕刻層EL上。此外,配置晶圓W之空間,在本例中為第一空間S1中之自由基密度設定為該第一空間S1中之離子密度之200倍以上的密度。
接著在步驟ST3中,將由處理氣體產生之離子引入被蝕刻層EL中。因此,在步驟ST3中,在步驟ST2中供給之處理氣體繼續供給至第二空間S2中。
此外,如圖4所示地,實行步驟ST3之期間中(時間t2至t3及時間t4至t5),未由第二高頻電源HFS供給高頻波HF至線圈36,由第一高頻電源LFS供給高頻偏壓LF至下部電極20。
供給至第二空間S2之處理氣體含有稀有氣體,且該稀有氣體通過離子阱40之多數孔40h,並供給至第一空間S1。供給至第一空間S1之稀有氣體被高頻偏壓LF激發。藉此,產生稀有氣體原子之離子,且該稀有氣體原子之離子被高頻偏壓LF引入晶圓W中。藉此,由被蝕刻層EL放出附著有自由基之原子。
在方法MT之各程序SQ中,以0.2nm以下,即,原子層級之深度蝕刻被蝕刻層EL。因此,在步驟ST3中,必須控制高頻偏壓LF之供給,由被蝕刻層EL只放出附著有自由基之原子。因此,在一實施形態之步驟ST3中,供給0.07W/cm2 以下之功率密度的高頻偏壓LF至下部電極20。0.07W/cm2 以下之功率密度的高頻偏壓LF係在例如2秒以下之期間供給至下部電極20。在另一實施形態中,在0.5秒以下之期間供給0.14W/cm2 以下之功率密度的高頻偏壓LF至下部電極20。
在方法MT中,實行步驟ST3後,在步驟STJ中,判定是否滿足停止條件。停止條件係,例如,包含步驟ST2及步驟ST3之程序SQ的實行次數到達預定次數時,判定為滿足。在步驟STJ中判定為未滿足停止條件時,再實行包含步驟ST2及步驟ST3之程序SQ。另一方面,在步驟STJ中判定為滿足停止條件時,結束方法MT之實行。如此,在方法MT中,實行多數次之程序SQ,且最後,如圖5所示地,被蝕刻層EL之全區域中由遮罩MSK露出之區域被蝕刻到基板SB之表面露出為止。
以下,參照圖6及圖7說明方法MT之原理。圖6及圖7係顯示圖1所示之方法的蝕刻原理的圖。此外,在圖6及圖7中,中空圓表示構成被蝕刻層EL之原子,且塗黑圓表示自由基,並且被圓包圍之「+」表示稀有氣體原子之離子。
如圖6(a)所示地,實行初次之步驟ST2時,被蝕刻層EL之表面狀態呈無或極少懸鍵之狀態。在初次之步驟ST2中,自由基吸附在如此狀態之被蝕刻層EL表面上。接著在初次之步驟ST3中,如圖6(b)所示地,藉由使稀有氣體原子之離子衝撞在被蝕刻層EL之表面上,由被蝕刻層EL放出吸附有自由基之被蝕刻層EL的原子,並進行排氣。藉此,如圖6(c)所示地,懸鍵形成在被蝕刻層EL之表面上。
在第二次以後之步驟ST2中,對被蝕刻層EL供給自由基。由蘭繆爾吸附模型(Langmuir Absorption Model)來說,該自由基具有例如5eV以下之能量,且與懸鍵有效率地結合。因此,在第二次以後之步驟ST2中,如圖7(a)所示地,一層份之自由基以高被覆率吸附在被蝕刻層EL之表面上。
在第二次以後之步驟ST3中,亦對吸附有自由基之狀態的被蝕刻層EL引入稀有氣體離子。藉此,如圖7(b)所示地,由被蝕刻層EL放出吸附有自由基之原子,且進行排氣。接著,如圖7(c)所示地,在被蝕刻層EL之表面形成懸鍵。藉由重複包含如此之步驟ST2及步驟ST3的程序,在方法MT中,如圖5所示地,蝕刻被蝕刻層EL。
在該方法MT中,如上所述地,在步驟ST2及步驟ST3中使用相同處理氣體,且連續地實行步驟ST2及步驟ST3。因此,不需要在步驟ST2與步驟ST3之間置換處理氣體之期間。因此,依據方法MT,可縮短蝕刻被蝕刻層EL所需之時間。
在一實施形態中,可實行步驟ST3之期間中,固定第一匹配器MU1之阻抗。即,構成第一匹配器MU1之匹配電路的上述可變電元件的可變參數可維持一定。一般而言,由於電漿處理裝置之匹配器進行阻抗匹配之動作,需要供給負載之高頻偏壓之功率到穩定為止的時間。另一方面,藉由在步驟ST3中固定第一匹配器MU1之阻抗,可抑制開始供給高頻偏壓LF後之功率變動。結果,可縮短實行步驟ST3之期間。
以下,說明為評價方法MT進行之模擬。在該模擬中,將第一空間S1中之自由基通量與離子通量之比設定為各種比,並由蘭繆爾吸附模型(Langmuir Absorption Model)算出被蝕刻層EL之自由基的被覆率q成為1之時間t。在此,被覆率q(t)在被蝕刻層EL之全部位置被自由基埋住時為1。此外,被覆率q(t)藉由下述式(1)算出。 [數1]
Figure 02_image001
...(1) 式(1)中,S係自由基之附著率,Y係離子之蝕刻產率,Gr係自由基通量(cm-2 s-1 ),Gi係離子通量(cm-2 s-1 ),且該等參數係由自由基之種類、離子之種類、被蝕刻層EL之材料來決定。在該模擬中,假設被蝕刻層EL為矽膜、自由基為氯、離子為Ar。
在圖8中,顯示表示模擬結果之圖。如圖8所示地,Gr/Gi為1時,到成為q(t)=1之時間係5秒,且隨著Gr/Gi增加,成為q(t)=1之時間減少。而且,Gr/Gi為200時,成為q(t)=1之時間幾乎看不到變化,且用0.3秒成為q(t)=1。即使自由基之種類、離子之種類、被蝕刻層EL之膜種不同,該傾向亦大略相同。因此,在步驟ST2中,Gr/Gi為200,即,自由基密度為離子密度之200倍以上時,確認可以高被覆率且以短時間實現自由基對被蝕刻層EL之表面的吸附。
以下,說明為評價方法MT,使用電漿處理裝置10進行之實驗。
(實驗例1)
在實驗例1中,在方法MT中設定以下所示之條件,且設定各種步驟ST3中之高頻偏壓LF的功率及各程序SQ之步驟ST3的實行時間長,進行有機膜之蝕刻。 <條件> 處理氣體:150sccm之N2 氣體、150sccm之H2 氣體、及1000sccm之Ar氣體 處理容器12之內部空間的壓力:50mTorr(6.666Pa) 步驟ST2之高頻波HF的功率:500W 步驟ST2之高頻偏壓LF的功率:0W 步驟ST3之高頻波HF的功率:0W
此外,在比較實驗例中,藉由重複包含以下條件之第一步驟至第四步驟的程序,進行有機膜之蝕刻。在比較實驗例中,將各程序中之第三步驟的實行時間長固定為2秒,且設定該第三步驟中之各種高頻偏壓LF的功率。 <條件> 處理容器12之內部空間的壓力:50mTorr(6.666Pa) 第一步驟之處理氣體:150sccm之N2 氣體、150sccm之H2 氣體、及1000sccm之Ar氣體 第一步驟之高頻波HF的功率:500W 第一步驟之高頻偏壓LF的功率:0W 第二步驟之處理氣體:1000sccm之Ar氣體 第二步驟之高頻波HF的功率:0W 第二步驟之高頻偏壓LF的功率:0W 第三步驟之處理氣體:1000sccm之Ar氣體 第三步驟之高頻波HF的功率:0W 第四步驟之處理氣體:150sccm之N2 氣體、150sccm之H2 氣體、及1000sccm之Ar氣體 第四步驟之高頻波HF的功率:0W 第四步驟之高頻偏壓LF的功率:0W
接著,由實驗例1及比較實驗例之蝕刻後的有機膜的膜厚減少量,求得每一次程序之有機膜的蝕刻量(深度)。結果顯示於圖9中。在圖9中,橫軸表示在步驟ST3(或比較實驗例之第三步驟)中之高頻偏壓LF的功率有效值,縱軸表示每一次程序之有機膜的蝕刻量(深度)。此外,在圖9中,虛線表示可視為ALE法之每一次程序之蝕刻量的0.2nm。
比較實驗例採用通常之ALE法,如圖9所示地,在比較實驗例中,與第三步驟之高頻偏壓的功率無關,每一次程序之有機膜的蝕刻量為0.2nm以下。此外,在實驗例1中,步驟ST3中之高頻偏壓LF的功率有效值係40W以下時,即,步驟ST3中之高頻偏壓LF的功率係50W以下時,與步驟ST3之實行時間長無關,確認可獲得0.2nm以下之蝕刻量。因此,在方法MT中,步驟ST3中之高頻偏壓LF的功率係50W以下時,確認可與ALE法同樣地蝕刻被蝕刻層。此外,50W以下之高頻偏壓LF的功率密度係0.07W/cm2 以下之功率密度。
(實驗例2)
在實驗例2中,在方法MT中設定以下所示之條件,且設定各程序SQ之步驟ST3的各種實行時間長,進行有機膜之蝕刻。 <條件> 處理氣體:150sccm之N2 氣體、150sccm之H2 氣體、及1000sccm之Ar氣體 處理容器12之內部空間的壓力:50mTorr(6.666Pa) 步驟ST2之高頻波HF的功率:500W 步驟ST2之高頻偏壓LF的功率:0W 步驟ST3之高頻波HF的功率:0W 步驟ST3之高頻偏壓LF的功率:100W
接著,由實驗例2之蝕刻後的有機膜的膜厚減少量,求得每一次程序之有機膜的蝕刻量(深度)。結果顯示於圖10中。在圖10中,橫軸表示在各程序SQ中之步驟ST3的實行時間長,縱軸表示每一次程序之有機膜的蝕刻量(深度)。如圖10所示地,步驟ST3之高頻偏壓LF的功率係100W時,若各程序SQ中之步驟ST3的實行時間長係0.5秒以下,確認每一次程序之蝕刻量為大略0.2nm以下之量。因此,在方法MT中,步驟ST3中之高頻偏壓LF的功率係100W以下,且,各程序SQ中之步驟ST3的實行時間長係0.5秒以下時,確認可與ALE法大略同樣地蝕刻被蝕刻層。
以下,說明可用以實施方法MT之另一電漿處理裝置。圖11係概略地顯示可用以實施圖1所示之方法的另一電漿處理裝置的圖。圖11所示之電漿處理裝置100具有處理容器120。處理容器120提供空間S作為其內部空間。處理容器120由例如鋁之類的導電性材料構成,且面向處理容器120之內部空間的內面設有具有耐電漿性之被覆,例如,氧化釔皮膜。該處理容器120電性地接地。
處理容器120之空間S中設有載置台14。該載置台14係與電漿處理裝置10之載置台14同樣之載置台。該載置台14之下部電極20透過第一匹配器MU1連接第一高頻電源LFS。
處理容器120之上端具有開口,且該開口被頂板構件340關閉。頂板構件340係由如石英之類的介電體構成。頂板構件340內形成有多數氣室340a。多數氣室340a相對於通過靜電吸盤22中心之軸線設置成同心狀。該等氣室340a可由氣體供給部300之第一氣體供給部300a供給處理氣體。該處理氣體係在方法MT之步驟ST2及步驟ST3中使用之處理氣體,且第一氣體供給部300a與電漿處理裝置10之氣體供給部30同樣地包含多數氣體源、多數閥、及多數流量控制器。
在氣室340a之上方,且在頂板構件340內,埋設有第一電極342。此外,在氣室340a之下方,且在頂板構件340內,埋設有第二電極344。第一電極342及第二電極344透過第二匹配器MU2連接第二高頻電源HFS,以便在該等電極間,即在氣室340a內形成高頻電場。此外,在第一電極342之上方,且在頂板構件340內,埋設有加熱器HT。該加熱器HT連接於加熱器電源HP。此外,在第二電極344之下方,且,在頂板構件340內,形成有另一氣室340b。在空間S中與處理氣體混合之氣體可由氣體供給部300之第二氣體供給部300b供給至氣室340b。
頂板構件340之正下方設有離子阱400。離子阱400係由如金屬之類的導電性材料構成,且具有大略圓盤形。離子阱400電性地連接於該處理容器120,以便具有與處理容器120相同之電位。該離子阱400之下面與靜電吸盤22之上面間的距離GP係例如30mm以上之距離。頂板構件340及離子阱400形成有由氣室340a延伸之孔400h。此外,頂板構件340及離子阱400形成有由氣室340b延伸之孔400i。
使用該電漿處理裝置100實施方法MT時,在步驟ST2中,供給處理氣體至氣室340a,並由第二高頻電源HFS供給高頻波HF至第一電極342及第二電極344。此外,在步驟ST2中,未由第一高頻電源LFS供給高頻偏壓LF至下部電極20。藉由實行該步驟ST2,在氣室340a內激發處理氣體。接著,在氣室340a內產生之自由基通過孔400h而供給至空間S內,並吸附在晶圓W之被蝕刻層EL上。
此外,在步驟ST3中亦繼續供給處理氣體至氣室340a。在步驟ST3中,停止由第二高頻電源HFS供給高頻波HF。另外,在步驟ST3中,由第一高頻電源LFS供給高頻偏壓LF至下部電極20。藉由實行步驟ST3,供給處理氣體至空間S內,且藉由高頻偏壓LF產生稀有氣體原子之離子。藉由將該離子引入晶圓W,由該被蝕刻層EL放出吸附有自由基之被蝕刻層EL的原子,並進行排氣。藉由重複包含該步驟ST2及步驟ST3之程序SQ,使用電漿處理裝置100,亦可蝕刻被蝕刻層EL。
以上,雖然說明各種實施形態,但不限於上述之實施形態而可構成各種變形態樣。例如,用以激發處理氣體之電漿源可為任意之電漿源,亦可藉由例如微波激發處理氣體。此外,亦可使用利用遠程電漿之任意電漿處理裝置來實施方法MT。
10‧‧‧電漿處理裝置 12‧‧‧處理容器 14‧‧‧載置台 16‧‧‧支持構件 17‧‧‧絕緣性構件 18‧‧‧絕緣性構件 20‧‧‧下部電極 20a‧‧‧第一構件 20b‧‧‧第二構件 22‧‧‧靜電吸盤 24‧‧‧電源 26‧‧‧排氣管 28‧‧‧排氣裝置 30‧‧‧氣體供給部 32‧‧‧配管 34‧‧‧窗構件 36‧‧‧線圈 40‧‧‧離子阱 40h‧‧‧孔 42‧‧‧控制部 100‧‧‧電漿處理裝置 120‧‧‧處理容器 300‧‧‧氣體供給部 300a‧‧‧第一氣體供給部 300b‧‧‧第二氣體供給部 340‧‧‧頂板構件 340a‧‧‧氣室 340b‧‧‧氣室 342‧‧‧第一電極 344‧‧‧第二電極 400‧‧‧離子阱 400h‧‧‧孔 400i‧‧‧孔 EL‧‧‧被蝕刻層 GP‧‧‧距離 HF‧‧‧高頻波 HFS‧‧‧第二高頻電源 HP‧‧‧加熱器電源 HT‧‧‧加熱器 LF‧‧‧高頻偏壓 LFS‧‧‧第一高頻電源 MSK‧‧‧遮罩 MT‧‧‧方法 MU1‧‧‧第一匹配器 MU2‧‧‧第二匹配器 OP‧‧‧開口 S‧‧‧空間 S1‧‧‧第一空間 S2‧‧‧第二空間 SB‧‧‧基板 SQ‧‧‧程序 ST1‧‧‧步驟 ST2‧‧‧步驟 ST3‧‧‧步驟 STJ‧‧‧步驟 SW‧‧‧開關 t1~t5‧‧‧時間 W‧‧‧晶圓
[圖1]係顯示一實施形態之被蝕刻層之蝕刻方法的流程圖。 [圖2]係舉例顯示可使用圖1所示之方法的被處理體的剖面圖。 [圖3]係概略地顯示可用以實施圖1所示之方法的電漿處理裝置的圖。 [圖4]係關於圖1所示之方法中之高頻波、高頻偏壓及處理氣體的時間圖。 [圖5]係顯示實施圖1所示之方法後之被處理體狀態的剖面圖。 [圖6](a)(b)(c)係顯示圖1所示之方法的蝕刻原理的圖。 [圖7](a)(b)(c)係顯示圖1所示之方法的蝕刻原理的圖。 [圖8]係顯示模擬結果之圖。 [圖9]係顯示實驗結果之圖。 [圖10]係顯示實驗結果之圖。 [圖11]係概略地顯示可用以實施圖1所示之方法的另一電漿處理裝置的圖。
MT‧‧‧方法
SQ‧‧‧程序
ST1‧‧‧步驟
ST2‧‧‧步驟
ST3‧‧‧步驟
STJ‧‧‧步驟

Claims (4)

  1. 一種被蝕刻層之蝕刻方法,用以蝕刻一被處理體之被蝕刻層,包含以下步驟:在電漿處理裝置之處理容器內,將該被處理體載置於具有下部電極之載置台上;不對該下部電極施加高頻偏壓,而使由處理氣體產生之自由基吸附在該被蝕刻層上;及對該下部電極施加高頻偏壓,將由該處理氣體產生之離子引入該被蝕刻層中,此步驟係與吸附自由基之該步驟連續地進行,交互地重複吸附自由基之該步驟及引入離子之該步驟,在吸附自由基之該步驟中,配置該被處理體之該處理容器內的空間中之自由基密度係在該空間中之離子密度的200倍以上之密度,在引入離子之該步驟中,供給0.07W/cm2以下之功率密度的該高頻偏壓至該下部電極,或在0.5秒以下之期間內供給0.14W/cm2以下之功率密度的該高頻偏壓至該下部電極,該電漿處理裝置在該載置台之上方具有離子阱,在相對於該離子阱與配置該載置台之側相反的那一側產生該處理氣體之電漿,且該自由基通過該離子阱而供給至該被蝕刻層,該處理氣體係由N2氣體、H2氣體、及Ar氣體所構成,且該被蝕刻層為有機膜。
  2. 如申請專利範圍第1項之被蝕刻層之蝕刻方法,其中在引入離子之該步驟中,在2秒以下之期間內供給0.07W/cm2以下之功率密度的該高頻偏壓至該下部電極。
  3. 如申請專利範圍第1或2項之被蝕刻層之蝕刻方法,其中,在實行引入離子之該步驟的期間中,將設於「產生該高頻偏壓之高頻電源」與該下部電極之間的匹配器之阻抗予以固定。
  4. 如申請專利範圍第3項之被蝕刻層之蝕刻方法,其中,在實行引入離子之該步驟的期間中,將該匹配器之可變電元件的可變參數予以固定。
TW105111157A 2015-04-20 2016-04-11 被蝕刻層之蝕刻方法 TWI738647B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2015-085884 2015-04-20
JP2015085884A JP6516542B2 (ja) 2015-04-20 2015-04-20 被エッチング層をエッチングする方法

Publications (2)

Publication Number Publication Date
TW201705270A TW201705270A (zh) 2017-02-01
TWI738647B true TWI738647B (zh) 2021-09-11

Family

ID=57143882

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105111157A TWI738647B (zh) 2015-04-20 2016-04-11 被蝕刻層之蝕刻方法

Country Status (6)

Country Link
US (1) US10347499B2 (zh)
JP (1) JP6516542B2 (zh)
KR (1) KR102363689B1 (zh)
CN (1) CN107431012B (zh)
TW (1) TWI738647B (zh)
WO (1) WO2016170986A1 (zh)

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6925202B2 (ja) * 2017-08-30 2021-08-25 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP6886940B2 (ja) * 2018-04-23 2021-06-16 東京エレクトロン株式会社 プラズマ処理方法
JP7133975B2 (ja) * 2018-05-11 2022-09-09 東京エレクトロン株式会社 エッチング方法およびエッチング装置
JP7126381B2 (ja) * 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
US10629451B1 (en) * 2019-02-01 2020-04-21 American Air Liquide, Inc. Method to improve profile control during selective etching of silicon nitride spacers
US20210335625A1 (en) * 2019-02-08 2021-10-28 Hitachi High-Technologies Corporation Dry etching apparatus and dry etching method
JP7433095B2 (ja) 2020-03-18 2024-02-19 東京エレクトロン株式会社 基板処理方法及び基板処理装置
WO2022210043A1 (ja) * 2021-03-30 2022-10-06 東京エレクトロン株式会社 エッチング方法及びエッチング装置

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
JP2013084552A (ja) * 2011-09-29 2013-05-09 Tokyo Electron Ltd ラジカル選択装置及び基板処理装置
JP2014045160A (ja) * 2012-08-29 2014-03-13 Samco Inc 高アスペクト比の凹凸構造を有するシリコン基板の製造方法
JP2015076459A (ja) * 2013-10-08 2015-04-20 株式会社日立ハイテクノロジーズ ドライエッチング方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03263827A (ja) 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JP4084335B2 (ja) * 1996-03-01 2008-04-30 株式会社日立製作所 プラズマエッチング処理装置
JP3499104B2 (ja) * 1996-03-01 2004-02-23 株式会社日立製作所 プラズマ処理装置及びプラズマ処理方法
US5637189A (en) * 1996-06-25 1997-06-10 Xerox Corporation Dry etch process control using electrically biased stop junctions
TW200414344A (en) * 2002-09-06 2004-08-01 Tokyo Electron Ltd Method and apparatus for etching Si
JP2010192197A (ja) * 2009-02-17 2010-09-02 Tokyo Electron Ltd 基板処理装置及び基板処理方法
JP2012104382A (ja) * 2010-11-10 2012-05-31 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理方法並びにプラズマ処理のバイアス電圧決定方法
JP2012142495A (ja) * 2011-01-05 2012-07-26 Ulvac Japan Ltd プラズマエッチング方法及びプラズマエッチング装置
US8883028B2 (en) * 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US20150132970A1 (en) * 2012-05-23 2015-05-14 Tokyo Electron Limited Substrate processing apparatus and substrate processing method
JP6169701B2 (ja) * 2013-08-09 2017-07-26 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
JP2013084552A (ja) * 2011-09-29 2013-05-09 Tokyo Electron Ltd ラジカル選択装置及び基板処理装置
JP2014045160A (ja) * 2012-08-29 2014-03-13 Samco Inc 高アスペクト比の凹凸構造を有するシリコン基板の製造方法
JP2015076459A (ja) * 2013-10-08 2015-04-20 株式会社日立ハイテクノロジーズ ドライエッチング方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
M. Sode,1, a) W. Jacob,1 T. Schwarz-Selinger,1 and H. Kersten,2 Measurement and modeling of neutral, radical, and ion densities in H2-N2-Ar plasmas, Max-Planck- Institut f¨ur Plasmaphysik, Boltzman *

Also Published As

Publication number Publication date
KR102363689B1 (ko) 2022-02-17
US20180144948A1 (en) 2018-05-24
CN107431012B (zh) 2020-12-08
TW201705270A (zh) 2017-02-01
KR20170141666A (ko) 2017-12-26
JP2016207771A (ja) 2016-12-08
CN107431012A (zh) 2017-12-01
WO2016170986A1 (ja) 2016-10-27
US10347499B2 (en) 2019-07-09
JP6516542B2 (ja) 2019-05-22

Similar Documents

Publication Publication Date Title
TWI738647B (zh) 被蝕刻層之蝕刻方法
KR102510737B1 (ko) 원자층 에칭 방법
KR102356211B1 (ko) 에칭 방법
TW201631656A (zh) 蝕刻方法
TW201820460A (zh) 被處理體之處理方法
JP6017928B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
US9147556B2 (en) Plasma processing method and plasma processing apparatus
KR20100004891A (ko) 플라즈마 에칭 방법, 제어 프로그램 및 컴퓨터 기억 매체
TWI809086B (zh) 蝕刻方法及電漿處理裝置
JP2015079793A (ja) プラズマ処理方法
TWI806871B (zh) 多孔低介電常數介電蝕刻
WO2018212045A1 (ja) 多孔質膜をエッチングする方法
KR102610384B1 (ko) 에칭 방법 및 에칭 장치
TWI757483B (zh) 蝕刻方法
TWI759348B (zh) 被處理體之處理方法
JP6666601B2 (ja) 多孔質膜をエッチングする方法
US11367590B2 (en) Plasma processing method and plasma processing apparatus
TWI836576B (zh) 電漿處理裝置及電漿處理方法