TWI567819B - 用脈衝電漿之原子層蝕刻 - Google Patents

用脈衝電漿之原子層蝕刻 Download PDF

Info

Publication number
TWI567819B
TWI567819B TW099143897A TW99143897A TWI567819B TW I567819 B TWI567819 B TW I567819B TW 099143897 A TW099143897 A TW 099143897A TW 99143897 A TW99143897 A TW 99143897A TW I567819 B TWI567819 B TW I567819B
Authority
TW
Taiwan
Prior art keywords
plasma
substrate
electrode
bias
pulse
Prior art date
Application number
TW099143897A
Other languages
English (en)
Other versions
TW201140687A (en
Inventor
文森M 唐尼利
迪米崔J 愛康諾摩
Original Assignee
休士頓系統大學
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 休士頓系統大學 filed Critical 休士頓系統大學
Publication of TW201140687A publication Critical patent/TW201140687A/zh
Application granted granted Critical
Publication of TWI567819B publication Critical patent/TWI567819B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32036AC powered
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32018Glow discharge
    • H01J37/32045Circuits specially adapted for controlling the glow discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32146Amplitude modulation, includes pulsing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Description

用脈衝電漿之原子層蝕刻 相關申請案之交互參照
在美國專利法第119條下,本案主張基於2009年12月15日所申請、標題為「用脈衝電漿之原子層蝕刻」之美國臨時申請案第61/286,572號的利益,其為了所有目的而整個併於此以為參考。
關於聯邦贊助研究或發展的聲明
美國政府具有本發明的付清授權,而在限制的情況下有權要求專利權人以合理的條款授權其他方面,如美國能源部授與的獎助案第DE-PS02-09ER09-01號和國家科學基金會授與的獎助案第CBET-0903426號所準備的條款。
發明背景
本揭示關於奈米製造技術方法。更特定而言,本揭示關於新的循環方法,其以原子層精確度來蝕刻固體表面。
原子層沉積(ALD)是一種奈米製造技術方法,其已經變成生長高介電常數材料(亦知為「高k材料」)以取代氧化矽(SiO2)做為金屬-氧化物-半導體場效電晶體(MOSFETs)中之閘極介電質的重要方法。原子層蝕刻(atomic layer etching,ALET),亦知為「數位蝕刻」,已經發展成為ALD以外另可選擇的方法。ALET首先報導用於以變化的氯氣(Cl2)吸附和電子束蝕刻來蝕刻砷化鎵(GaAs)。隨著這些技術的發展,雖然額外的研究探討了以離子轟炸來執行矽之ALET的可能性,但是即使在實驗室規模下,每個蝕刻循環所必需的時間超過可接受的限度。
原子層蝕刻(ALET)之傳統做法的完整循環是由四步驟所構成。首先是化學吸附步驟,其包含將乾淨的基板暴露於反應物氣體以便於氣體吸附於表面上。其次,多餘的Cl2氣體以惰性氣流加以沖洗來避免於後續步驟中被氣相反應物所蝕刻。第三是反應步驟,例如化學濺射,其係由吸附的氣體和底下固體之間的反應所作用,而經常經由惰性氣體電漿為之。理想而言,此方法也是自我受限的;離子僅與鍵結於化學吸附氣體的基板原子發生反應。一旦移除了氯化層,基板之物理濺射所造成的進一步蝕刻不可以發生或者必須充分限制之。最後,抽空反應腔室以排出蝕刻產物。如果第一步驟的化學吸附和第三步驟的蝕刻之時間有充分地延伸,則蝕刻速率趨近於每個循環一個原子層,其中原子層厚度是氯化層的厚度,但未必是基板的一個單層。此外,如果基板表面於重複ALET循環期間保持接近原子般平滑,則得以達成每個循環移除基板之實質一個單層的理想條件。
然而,以傳統的ALET方法來達成接近原子單層的基板移除係需要非常長的蝕刻循環,每個循環趨近於且超過150秒。再者,傳統的ALET方法包含額外的限制。首先,氣體脈衝化就是個缺點,不好的事實在於例如Cl2的化學吸附氣體在腔室壁上具有長滯留時間,並且在點燃惰性氣體電漿之前需要長的泵出時期。此讓蝕刻速率變得極緩慢,即使蝕刻非常薄之膜所需的時間亦然。其次,每個循環的蝕刻速率可能未必是固定不變的或可控制的。特定而言,離子轟炸所誘發的粗糙化可以造成飽和層厚度隨著循環數而增加,並且隨著每個循環數而加速蝕刻速率。
摩爾(Moore)定律和半導體的持續發展係預測未來積體電路裡的元件將會是小的如一個原子層厚並且小於幾個原子層寬。目前的電漿蝕刻方法太過粗糙而無法達成此種精確的圖案轉移,並且會損害基板的下層。尤其,傳統的電漿蝕刻技術並不具有次20奈米結構之精確圖案化所需的控制程度,並且目前以脈衝化氣體來進行原子層蝕刻仍太慢而對於大量製造未來積體電路而言係不實用。此外,目前的技術需要多餘的前驅物原料,例如氯氣,此代表找到更有效率的方法則可能降低成本。
因此,如果原子層蝕刻是要克服緩慢的蝕刻循環時間、基板損傷、不良的解析度、無效率的操作等問題,則需要新穎的方法以能夠使用電漿蝕刻而以原子層解析度和改善的成本效益來製造併入了量子點和/或線、自我組裝膜及其他敏感元件的未來奈米裝置。
根據本揭示一具體態樣的系統包括:脈衝電漿源,其包括:螺旋線圈電極,其圍繞著管而配置;法拉第屏蔽,其配置於管和螺旋線圈電極之間,並且由流體流動所冷卻;反電極,其至少部分地從管的頂部延伸至管中;氣體入口,其配置於管中並且流體連通於製程氣體供應器;以及反應腔室,其流體連通於脈衝電漿源,該反應腔室包括:基板支持物;以及邊界電極。
根據本揭示一具體態樣之蝕刻基板的方法包括:把包括惰性氣體和反應物氣體之混合物的進給氣體引入電漿腔室;把基板配置於電漿腔室;從進給氣體產生包含反應物和離子的電漿;以反應物來飽和基板表面,以形成包括單層之反應物種和第一單層之基板原子的產物層;以及把產物層暴露於離子而移除產物層。
根據本揭示一具體態樣之處理基板的方法包括:把來自電漿餘輝(afterglow)的離子導向飽和以第一物質的基板表面。並且於特定的具體態樣,以離子來移除第一物質和單層的基板原子。
前面已經相當廣泛地勾勒出本發明的特色和技術優點,以便可以更加理解本發明接下來的詳細敘述。本發明的額外特色和優點將在下文描述,其形成本發明之申請專利範圍的主題。
傳統的原子層蝕刻:如圖1所示,傳統的原子層蝕刻(ALET)方法可以包含四階段:把基板(例如矽(Si))暴露於反 應物氣體(例如氯(Cl));從腔室沖洗多餘的反應物氣體;把吸附的反應物氣體暴露於有能量的流束(例如電漿);以及把蝕刻產物(例如氯化矽基根(SiClx))排出腔室,其中x是在大約0和大約4之間。
第一步驟包括化學吸附步驟(1)。乾淨的基板,典型包括矽,係暴露於反應物氣體,例如氯(Cl2)。由於當所有可得的表面位置被佔據時化學吸附便停止,故反應物氣體吸附是自我受限的。反應物氣流於此化學吸附步驟期間僅被活化。第二步驟(2)是必要的以移除可能鄰近於基板或基板表面的多餘反應物氣體,並且避免暫時沉積於腔室壁上。更特定而言,沖洗多餘的反應物氣體(Cl2)可以避免於後續蝕刻步驟(3)從壁釋出之氣相反應物所造成的自發性蝕刻。有多餘或逗留的反應物氣體所造成的自發性蝕刻就不可能做到單層精確度。於第三步驟(3),基板的表面暴露於有能量的流束,例如離子、電子或快速的中性物,其經常經由惰性氣體電漿來為之,例如感應耦合電漿(inductively coupled plasma,ICP),而造成吸附氣體和底下固體之間的反應。此反應或化學濺射也是自我受限的,此係因為離子僅與鍵結於化學吸附氣體的基板原子發生反應。一旦移除了化學吸附層,則額外蝕刻基板則非所欲以維持差不多單一原子層的蝕刻解析度。最後,排空腔室以移除蝕刻產物和可能存在的任何基板-反應物氣體基根。
要注意此傳統的ALET方法需要極長的蝕刻循環,舉例而言,每個循環大約150秒。再者,延長化學吸附(1)和蝕刻(3)的時間,則蝕刻速率趨近於每個循環一個原子層,但代價是增加循環時間和降低製程效率。如果基板表面於重複的ALET循環期間保持於或接近於原子般平滑,則得以達成每個循環移除實質一個基板單層的理想條件。然而,如果方法過度地延長,則原子層厚度則為氯化層的厚度,並且未必是一個基板單層,因此至少部分地達不到ALET的目的。
新穎的ALET概觀:於本揭示,揭示了幾個用於ALET方法之技術和系統的範例性具體態樣。為了簡潔明確起見,本揭示聚焦於一或更多個特定的範例性系統和一或更多個特定的技術。熟於此技藝者將體認具體態樣僅為範例性的。本揭示的範圍不是要受限於在此所述的特定具體態樣。當然,除了在此所述的以外,本揭示其他多樣的具體態樣和修改對於此技藝中具一般技術的人士而言將會是明顯的。
用於新的ALET方法的系統和方法可以是基於脈衝式電漿和脈衝式電極偏壓的方法。於具體態樣,該系統可以包含電漿源,例如ICP來源、電容耦合電漿(capacitively coupled plasma,CCP)來源或螺旋加速器來源。於特定的具體態樣,電漿源是ICP來源。電漿源可以用連續式或脈衝式電流而提供以直流(DC)或射頻(RF)功率。於某些具體態樣,至少一電極配置成靠近基板或浸沒於電漿。於某些情形,ICP脈衝化系統具有至少一射頻(RF)功率產生器以產生快速的RF電漿脈衝。於額外的情形,快速的ALET系統包括定位於反應腔室的電極,以偏壓化腔室、偏壓化腔室壁、偏壓化電漿。於另外可選擇的組態,電漿脈衝化系統包括次要或輔助的電漿源,以於脈衝化期間幫助穩定ICP。
再者,新的ALET方法提出一種減輕傳統限制ALET速率之步驟的可能機制;於非限制性範例,此即氣體脈衝化。於某些具體態樣,新的ALET方法方法可以包括二階段:吸附階段和蝕刻階段。於某些情形,該方法可以利用可切換的電脈衝於ICP來源和定位於反應腔室的電極,以控制化學吸附和蝕刻。舉例而言,電極可以採用差不多與電漿脈衝同步的方式而施加偏壓於電漿。差動式控制電漿脈衝和電極偏壓可以允許精細控制撞擊於基板上的離子能量分布。於進一步的情形,新的ALET方法使用減少量的製程氣體和反應物氣體(其可以了解是有毒且具腐蝕性的)。相較於傳統的蝕刻方法,此為該方法減少了氣體成本、改善安全性、改進環境爭議。
新穎的ALET方法:參見圖2,顯示的是根據本揭示之具體態樣的ALET方法200。圖的頂部示範ALET方法,而圖的底部示範製程參數。如圖所示範,ALET方法包括二階段:吸附階段212和蝕刻階段252。於吸附階段212,基板可以暴露於吸附物,使得吸附物可以吸附於基板的表面上。於某些具體態樣,吸附物可以是反應物。於一些情形,吸附物可以包括具有未成對電子或懸盪鍵結之解離的反應物原子或解離的反應物分子。在沒有限制下,反應物可以包括鹵素,如氟(F)、氯(Cl)、溴(Br)或碘(I)。於特定的具體態樣,反應物可以是衍生自氯反應物氣體(Cl2)的解離氯(Cl)原子。不被理論所限,熟於此技藝者可以體認其他鹵素、鹵化物種或其他反應物也可以使用於吸附物。於另外可選擇的具體態樣,也可以使用完整無缺或未解離的反應物做為基板上的吸附物。再者,熟於此技藝者可以體認「氣體」一詞在沒有限制下包含從固態或液態物質在室溫或在標準溫度和壓力下所產生的汽化物。
吸附物可以藉由產生包含反應物的電漿而獲得。於特定的情形,惰性氣體可以隨著反應物而離子化。在沒有限制下,所得的電漿可以包含反應物、反應物氣體離子、惰性氣體離子。於具體態樣,使用氬(Ar)做為惰性氣體。此外,熟於此技藝者將體認也可以使用任何貴氣體物種或其他惰性氣體物種。
於具體態樣,如果反應物是隨著惰性氣體而離子化,則反應物氣體的體積濃度可以是在組合氣體的大約0.01%和大約20%之間;另外可以選擇的是反應物氣體濃度可以是在大約0.01%和大約15%之間;以及於特定的情形,反應物氣體的體積濃度可以是在大約0.01%和大約10%之間。於特定的具體態樣,反應物氣體可以包括小於大約1%的體積濃度。在沒有限制下,所產生的電漿可以主要包括Ar物種和小部分的Cl反應物氣體物種。
於具體態樣,使用電漿源以產生反應物。非限制性的範例性電漿源可以包括感應耦合電漿(ICP)來源、電容耦合電漿(CCP)來源或螺旋加速器來源。於特定的具體態樣,電漿源是ICP來源。於一些情形,ICP來源於吸附階段212期間可以是以RF供給電力的。
於具體態樣,電漿源並未於整個吸附階段212被供給電力。於一些情形,施加於電漿源的RF功率可以於吸附階段212的稍後部分加以降低。於非限制性範例,電漿源可以於吸附階段212的開始部分而以RF供給電力,如圖2所示範。再者,於階段212的稍後部分,較低的功率可以施加於電漿源,或者電漿源可以關閉以提供餘輝。另外可以選擇的是電漿源於整個吸附階段212都是連續供給電力的。
不被理論所限,吸附方法可以如在此所述的來發生。包括乾淨表面而無鈍化層的基板可以包含未成對的電子或懸盪的鍵結。於一些情形,來自電漿而接近基板表面的反應物則可以輕易鍵結於表面之懸盪的鍵結(例如經由化學吸附)而形成產物層。於一些情形,產物層可以包括單層的反應物和單層的結合之基板原子。於一些情形,Cl反應物吸附於範例性之矽(Si)基板的表面上而形成包括SiClx的產物層。再者,於特定的情形,產物層可以包括單層的反應物種Cl原子和單層的Si原子。吸附可以持續直到基板表面飽和以反應物為止。在沒有限制下,當實質上所有可得的基板表面位置(例如未成對的電子或懸盪的鍵結)被佔據或結合以反應物時便達到飽和。如熟於此技藝者所可理解的,於特定的情形,部分的基板表面並未覆蓋以反應物。舉例而言,部分的基板表面可以包含鈍化層,例如但不限於氧化層。於非限制性範例,鈍化層可以不包含可得的位置(可得的未成對電子或懸盪的鍵結),並且如此而未覆蓋以反應物。於特定的情形,基板表面係至少部分地覆蓋以產物層中的化學吸附反應物,並且至少部分地覆蓋以鈍化層。
於具體態樣,於吸附階段212,反應物氣體離子和/或惰性氣體離子可以存在於電漿中,使得包括產物層的基板表面暴露於離子。於一些情形,轟炸基板的離子能量可以選擇性地加以控制,以避免不想要的蝕刻、物理或化學濺射或使之降到最小。舉例而言,Cl離子蝕刻Si所需要的能量可以為大約10~25電子伏特(eV),而Ar離子造成濺射所需要的能量可以為大約30~60電子伏特。於特定的具體態樣,於,吸附階段212之轟炸基板的離子能量可以控制為大約10電子伏特或更小。舉例而言,離子能量可以藉由提供電漿源的靜電屏蔽(例如法拉第屏蔽)和/或在比較高的壓力下來進行此方法而加以控制,以便使不想要的蝕刻、物理或化學濺射降到最小。再說,Cl反應物原子於室溫下並不蝕刻p型或適度摻雜的n型Si,其須要熱控制此方法。
於具體態樣,完成吸附階段212之後,可以進行蝕刻階段252。於此蝕刻階段252,離子可以轟炸基板以移除產物層。於特定的具體態樣,離子包括帶正電的離子或帶負電的離子。於一些情形,使用帶正電的離子以移除產物層。如熟於此技藝者所理解的,於蝕刻階段252轟炸基板的離子能量最好可以高於化學輔助濺射的門檻但低於物理濺射的門檻。具有所選能量的離子可以藉由控制電漿和基板之間的電位差而導向基板。為了把正離子導向基板,可以藉 由增加相對於基板電位的電漿電位、減少相對於電漿的基板電位或二種方式都用而增加其間的電位差。為了把負離子加以導向,可以藉由減少相對於基板電位的電漿電位、增加相對於電漿的基板電位或二種方式都用而增加其間的電位差。正或負的、DC或RF的偏壓可以於蝕刻階段252施加於電漿和/或基板。此外,可以提供連續的偏壓至電漿和/或基板,如圖2所示。另外可以選擇的是提供一系列的脈衝偏壓,如圖4所示。
於特定的具體態樣,電漿源可以於蝕刻階段252而以RF供給電力的,如圖4所示。於一些情形,電漿源可以提供以脈衝式RF功率,其中每個RF功率脈衝係提供於上面提到的偏壓脈衝之間。舉例而言,一系列的脈衝式RF功率可以於蝕刻階段252施加於電漿源,並且一系列的脈衝式DC或RF偏壓可以施加於電漿和/或基板。每個偏壓脈衝可以提供於RF功率脈衝之間。另外可以選擇的是偏壓脈衝是在每個電漿源脈衝的餘輝裡大約1微秒和大約20微秒之間;或者是大約10微秒。
於一些情形,藉由選擇性地增加電漿和基板之間的電位差,於在此所述的特定具體態樣之包括氯化產物層的產物層可以移除。於此方法,結合了產物之單層的基板原子可以同時從基板移除。此外,可以重複吸附階段212和蝕刻階段252以一次一層地移除額外的基板原子層。
新穎的ALET系統:現在參見圖3,顯示的是根據本揭示之一具體態樣的範例性ALET系統300。根據本揭示之一 具體態樣的ALET系統300可以包括電漿腔室326,其具有頂壁328、底壁330、側壁332。ALET系統300也可以包括電漿源302、插在電漿腔室326和電漿源302之間的屏蔽304、基板支持物306、邊界電極308、反電極310、入口312。電漿源302可以耦合於脈衝化系統314。基板支持物306則可以耦合於支持系統316。支持系統316可以是能夠提供連續式或脈衝式DC或RF偏壓至基板支持物306的電源供應器。另外可以選擇的是支持系統316單純為接地或連接接地的元件。邊界電極308可以耦合於第一電壓系統318。反電極310可以耦合於第二電壓系統320。
於具體態樣,ALET系統可以額外包括耦合於電漿腔室326的幫浦324。於ALET系統300的特定組態,可以包含至少一冷卻管道336。於其他組態,基板支持物306可以包括差動式泵出管道334。於另外可選擇的組態,電漿腔室頂部328可以包括反電極310和氣體入口312。於進一步另外可以選擇的組態,系統300可以進一步包括耦合於電漿腔室326的輔助電漿腔室350。輔助電漿源352可以配置成靠近輔助電漿腔室350。
於具體態樣,電漿源302和輔助電漿源352可以是熟於此技藝者已知的任何類型電漿源,在沒有限制下,包含ICP來源、CCP來源、螺旋加速器來源和熱源。於特定的具體態樣,電漿源302可以是ICP來源302。ICP來源302可以是平坦的或圓柱形ICP來源302,其包括平坦的或螺旋狀線圈。另外可以選擇的是ICP來源具有其他的幾何型態。 電漿腔室326和/或輔助腔室350鄰近於電漿源302和/或輔助電漿源352的部分可以是由介電材料(舉例而言,像是石英或氧化鋁)所做出。舉例來說,至少部分的電漿腔室326和輔助電漿腔室350或者整個電漿腔室326和輔助電漿腔室350可以是由介電材料所做出。於特定的情形,ICP來源302包括螺旋線圈電極,其配置成圍繞著氧化鋁或其他的介電放電管。於進一步的情形,ICP來源包括三線圈的螺旋電極
屏蔽304可以包括法拉第屏蔽。於具體態樣,法拉第屏蔽包括適合避免外界干擾ICP來源302的任何導電材料。於一些情形,屏蔽304可以包括銅。於特定的情形,屏蔽304可以建構成避免ICP來源302的線圈和它產生的電漿之間的電容耦合。另外可以選擇的是屏蔽304係建構成避免任何靜電訊號離開電漿腔室326。
基板支持物306包括於蝕刻期間用於半導體的支持物。於具體態樣,基板支持物306包括電極。於某些情形,基板支持物306是接地電極。於特定的情形,基板支持物306包括偏壓電極,其建構成產生並維持偏壓以回應於RF電磁場或直流(DC)脈衝化。於進一步的具體態樣,基板支持物306經由電漿腔室326的底部330而進入電漿腔室326。於一些情形,基板支持物306於或鄰近於電漿腔室326的底部330來支持基板301。
邊界電極308包括配置成鄰近於基板支持物306的導電材料。於某些具體態樣,邊界電極308可以配置成同心 圍繞著接近電漿腔室326之底部330的基板支持物306。於一些情形,邊界電極308係建構成施加偏壓以回應於施加至電漿源302、輔助電漿源352及/或反電極310的RF或DC訊號。
反電極310可以包括導電材料,其配置成垂直相對於基板支持物306。於具體態樣,反電極310配置成相對於腔室326中的邊界電極308。於某些情形,反電極310係施加以偏壓回應於施加至電漿源302、輔助電漿源352、邊界電極308的RF或DC訊號。於特定的情形,反電極310產生偏壓或脈衝偏壓,其係相反於邊界電極308的偏壓。
入口312包括進入腔室326的氣體管道。於具體態樣,入口312係鄰近於腔室326的頂部或者穿過腔室326的頂部328。在沒有限制下,入口312可以把惰性氣體和反應物氣體引入電漿腔室326。於一些情形,入口312提供加熱的氣體至腔室326和電漿源302。於特定的情形,入口312可以把未離子化的製程氣體和反應物氣體引入腔室326和電漿源302。另外可以選擇的是入口312連通於至少一輔助電漿源352,以把至少部分離子化的製程氣體和反應物氣體引入腔室326和電漿源302。
電漿源302可以耦合於脈衝化系統314。於具體態樣,脈衝化系統314包括至少一電源供應器,其能夠提供脈衝式或連續式RF和/或DC訊號至電漿源302。於某些情形,脈衝化系統314可以包括至少一RF或DC電源供應器和電功率放大器。於一些其他情形,脈衝化系統314可以包括 多個RF或DC電源供應器和功率放大器。脈衝化系統314可以經由阻抗匹配(例如L型)網路而耦合於電漿源302。脈衝化系統314可進一步建構以提供任何頻率的電功率至電漿源302。於一些情形,脈衝化系統314係建構成於於週期性脈衝中切掉或移除來自電漿源302的功率。於特定的情形,RF或DC電源供應器可以提供電漿源302在零伏特和預定高電壓之間、在預定頻率下的方波函數。如熟於此技藝者所能了解的,經由線圈來移除或改變RF電流則會移除或提高電漿的形成。
基板支持物306係耦合於支持系統316。於具體態樣,支持系統316包括電路,其包含基板支持物306。於一些情形,支持系統316是接地電極。於特定的情形,支持系統316包括RF功能產生器或DC電源。支持系統316係建構成在基板支持物306製造偏壓以回應於來自RF功能產生器或DC電源的電脈衝。於特定的組態,支持系統316從脈衝化系統314接收RF或DC電流做為在基板支持物305的偏壓。再者,基板支持物306的偏壓可以與系統300中的其他電極協調而加以脈衝化。
邊界電極308係耦合於第一電壓系統318。於具體態樣,第一電壓系統318包括電路,其包含邊界電極308。於一些情形,第一電壓系統318是電接地、RF功能產生器或DC電源。於特定的情形,第一電壓系統318係建構成在邊界電極308製造偏壓以回應於DC電源。於特定的組態,第一電壓系統318從脈衝化系統314接收RF或DC電流做為 在邊界電極308的偏壓。再者,邊界電極308的偏壓可以與系統300中的其他電極協調而加以脈衝化。
反電極310係耦合於第二電壓系統320。於具體態樣,第二電壓系統320包括電路,其包含反電極310。於一些情形,第一電壓系統318是電接地、RF功能產生器或DC電源。於特定的情形,第二電壓系統320係建構成在反電極310製造偏壓以回應於DC電源。於特定的組態,第二電壓系統320從脈衝化系統314接收RF或DC電流做為在反電極310的偏壓。再者,反電極310的偏壓可以與系統300中的其他電極協調而加以脈衝化。
氣體入口312係流體連接於氣體來源322。於具體態樣,氣體來源322包括製程氣體和反應物氣體混合物以引入電漿源302。於一些情形,製程氣體包括任何惰性氣體,其將被離子化以在電漿源302形成電漿。於特定的情形在沒有限制下,製程氣體包括貴氣體、氮、氫、氧、含氧氣體或其組合。反應物氣體包括任何氣體,其在電漿源302被部分離子化之後將被基板301所化學吸附。於特定的情形,在沒有限制下,反應物氣體包括鹵素、鹵碳化物、鹵化物或其他含鹵氣體。於進一步的情形,製程氣體和反應物氣體可以是任何適合ALET的氣體。於具體態樣,氣體來源包括大於大約90體積%的製程氣體濃度;另外可以選擇的是大於大約95體積%;以及於特定的情形,氣體來源具有大於大約99體積%的製程氣體濃度。
熱管道336係建構成改變系統裡的氣體溫度。於具體 態樣,冷卻管道可以是熱接觸著系統300並且建構成攜載冷卻液體或氣體的任何管道。於一些情形,冷卻管道336係熱連通於圓柱壁332和屏蔽304。於具體態樣,冷卻管道336配置成熱連通於凸緣,例如腔室底部330,其耦合著圓柱壁332和屏蔽304。
幫浦324可以是任何幫浦,其建構成減少反應腔室326之氣體壓力到大約1毫托耳(rmTorr)。於具體態樣,幫浦324係建構成降低並且維持電漿腔室326的壓力於大約1毫托耳和大約500毫托耳之間;或者是大約5毫托耳和大約250毫托耳之間;以及另外可以選擇的是大約10毫托耳和大約100毫托耳之間。於特定的情形,幫浦324操作腔室326的壓力為大約10毫托耳和大約75毫托耳之間。於一些情形,幫浦324包括至少一真空幫浦。於具體態樣,幫浦324包括渦輪真空幫浦和乾式幫浦。不被理論所限,幫浦324可以建構成操作於任何壓力範圍以便排空腔室裡的離子化氣體、蝕刻產物、其他氣態污染物。
另外可以選擇的ALET方法:再次參見圖4,顯示的是根據本揭示另一具體態樣之另外可以選擇的範例性方法來控制ALET方法。圖4示範RF/DC功率/電壓訊號時序,舉例而言,其應用於圖3所示之ALET系統的多樣元件。於具體態樣,可以使用訊號以於ALET方法期間控制電漿的物理和化學。
簡短地參見圖3,電漿源302於蝕刻階段(如圖2的蝕刻階段252)係施加以RF功率達差不多1秒,以提供反應物 (譬如Cl原子)來形成化學吸附層。於具體態樣,電漿源於整個吸附階段212係施加以RF功率。如在此之前所述,電漿源可以於吸附階段212的開始部分施加以RF功率,並且於吸附階段212的稍後部分將功率降低。於特定的具體態樣,電漿腔室326裡的電漿可以由輔助電漿腔室350產生之低功率輔助電漿的尾端所點燃。於點燃電漿期間,離子轟炸能量可以是夠低(小於10電子伏特)以避免發生任何蝕刻。於蝕刻階段,如於圖2的蝕刻階段252,差不多0.5秒的脈衝ICP時期則移除化學吸附層(例如SiClx)。脈衝化電漿源功率成為13.56百萬赫茲(MHz)施加之RF電壓的方波調變,具有下文所述的幾個益處。
首先,電子能量分布函數(EEDF)在餘輝中於循環之電力關閉部分的前幾微秒期間快速冷卻,舉例而言於典型大約100微秒的關閉時間內,而不實質損失電漿密度。所得的較低能量時間平均EEDF提供某些程度地控制進給氣體的解離度。其次,於大約100微秒餘輝的大部分時期,可以產生單一有能量的離子流束至基板,如本實驗室最近所示範的。於此範例,正DC電壓脈衝可以施加於邊界電極,此提升電漿電位並且把正離子推向具有較低電位的表面基板。因此,接地的基板係轟炸以能量等於VDC1的離子,如圖7和8所示。由於控制離子能量分布對於實現化學吸附鹵化層的化學濺射而不物理濺射底下的基板而言很關鍵,故此種獲得極窄離子能量分布(IED)(因而有極度選擇性)的方法是讓ALET達成單層正確度的有效方式。此脈衝化的主 要ICP連同同步脈衝化浸沒的電極偏壓電壓時期是夠長的(譬如0.5秒),足以濺射掉鹵化蝕刻產物層。然而,此技藝中具一般技術的人士將體認負DC或RF電壓可以施加於基板,舉例而言,經由基板支持物為之。於此方法,基板電位可以降低以吸引正離子。
淨的正離子轟炸可以造成正電荷累積於基板上。然而,邊界電壓脈衝回到零並且電漿已有機會趨近於其自然Vp之後,電位高於接地電位的任何帶電表面係比正離子流束先接收多餘的電子流束,此把它們的電位帶回到浮動電位,其係接近接地電位。為了加速正電荷中和化,舉例而言,大的負DC偏壓可以施加於反電極310,同時開啟連續波ICP電力。此負電壓可以對Vp沒有影響。然而,反電極310所造成的高能量離子轟炸可以產生二次電子,其被加速至完全鞘電位。這些高能量「彈道的」電子可以具有低散射截面,並且幾乎垂直入射地轟炸基板,此在即使為高深寬比之絕緣結構的底部也補償了正電荷。彈道的電子對於整體電漿而言也可以具有益處,例如提高電漿密度和降低整體的Te
另外可以選擇的是就絕緣基板而言,餘輝時期應用同步脈衝RF電壓至基板電極會導致負的自我偏壓以及有能量的正離子轟炸基板。視電漿密度和施加的頻率而定,RF偏壓基板301上的離子能量可以在平均鞘電位出現尖峰或出現雙重尖峰。所得的離子能量分布正常是太寬而無法達成ALET所需的極度選擇性。雖然應用極高頻率(100百萬赫茲)的偏壓可以窄化IED,但是IED的寬度係視離子質量而定,此使得混合氣體電漿的IED很難控制。窄的離子能量分布或許可以用設計的偏壓脈衝來獲得。對於導電基板而言,同步脈衝DC負偏壓可以於餘輝期間直接施加於基板支持物電極上,並且幾乎單一有能量的離子轟炸可以達成於任何所要的能量,方式就如同上述於邊界電壓的情形。
現在參見圖5,顯示的是ALET的流程圖。如圖所示,方法500一般包括二階段:吸附階段502和蝕刻階段550。如所能了解的,每個階段裡可以包括一或更多個步驟或增加的步驟,其當執行時係依序地或同步地完成方法500。換言之,雖然圖5示範步驟是依序進行,但是步驟可以同時進行,或者至少某些部分的步驟可以同時進行。如圖5所示範,吸附階段502可以包括基板定位步驟504、反應物形成步驟510、反應物吸附步驟520。同時,蝕刻階段550可以包括電位差增加步驟570。如上面所提到的,電漿和基板之間的電位差可以藉由施加RF或DC電壓至電漿或基板而增加。視需要可選擇讓蝕刻階段550也包括基板電荷中和化步驟552、電漿脈衝化步驟560、蝕刻產物移除步驟580。如上面所提到的,電荷中和化步驟552可以藉由偏壓化反電極而進行。本ALET方法500可以比傳統的ALET方法快許多。更特定而言,基板定位步驟504之後,剩餘的吸附步驟520可以需要大約0.01秒和大約10秒之間的時間;另外可以選擇的是大約0.1秒和大約5秒之間;以及於具體態樣,大約0.5秒和大約1.5秒之間。此外,蝕刻階段550可以需要大約0.01秒和大約10秒之間的時間;另外可以選擇的是大約0.1秒和大約5秒之間;以及於具體態樣,大約0.2秒和大約1秒之間。蝕刻產物移除步驟580之後,該等階段或步驟可以整個地或部分地重複,直到抵達所要的蝕刻深度為止。於特定的情形,電荷中和化步驟552、電漿源脈衝化步驟560、電位差增加步驟570可以同時進行,或者另外可以選擇的是同步進行。
更特定而言,吸附階段502可以包括適合吸附反應物於基板上所揭示的快速ALET方法步驟。該階段的第一步驟包括基板定位步驟504,其中基板定位於腔室中。於某些具體態樣,基板安裝於基板支持物。於特定的情形,基板支持物可以是電極。
當基板定位於腔室時,可以減少腔室裡的壓力。於具體態樣,在ALET方法期間,壓力維持在大約1毫托耳和大約500毫托耳之間;或者是在大約5毫托耳和大約250毫托耳之間;以及另外可以選擇的是在大約10毫托耳和大約100毫托耳之間。於特定的情形,壓力於基板定位步驟504期間係維持在大約10毫托耳和大約75毫托耳之間,並且整個ALET方法都維持在那裡。於進一步的情形,可以改變壓力以在整個新穎之ALET方法的任何時間提供IED控制。如熟於此技藝者可以理解的,反應腔室的壓力增加可以關聯於氣體粒子和基根的增加。不被理論所限,增加壓力可以降低離子的尖峰能量並且使IED變寬,反之亦然。
於反應物形成步驟510期間,進給氣體可以引入腔室。於某些具體態樣,進給氣體可以包括惰性氣體和反應物氣體。不被理論所限,當離子化時,反應物氣體可以包括反應性物種。於本具體態樣,反應物氣體可以包括Cl2。然而,熟於此技藝者可以體認也可以使用其他的反應物氣體,例如其他含有鹵素的氣體。同時,惰性氣體可以包括Ar。然而,熟於此技藝者可以體認也可以使用其他的惰性氣體。於本具體態樣,惰性氣體可以具有比反應物氣體還高的體積濃度。於某些情形,反應物氣體可以包括佔混合氣體體積的大約0.01%和大約20%之間的濃度;另外可以選擇的是大約0.01%和大約15%之間;以及或者是大約0.01%和大約10%之間。於另外的情況,反應物氣體可以包括佔混合氣體體積的大於大約0%而小於大約5%的濃度。
包含反應物氣體和惰性氣體的進給氣體可以由電漿源所離子化,以形成尤其包含反應物、反應物氣體離子、惰性氣體離子的電漿。如上面所提到的,可以使用各式各樣的電漿源。於特定的具體態樣,進給氣體可以加熱到大於大約200K的溫度;另外可以選擇的是到大於大約400K的溫度。於特定的情形,氣體流束係受到進一步的RF電磁場。此電漿包含激發態之物種、基根、離子、電子、光子等組合之成分則注入蝕刻腔室。部分離子化的反應物氣體有方向性地被拉向或遠離基板以回應於腔室裡的電荷偏壓。
於反應物吸附步驟520期間,反應物係吸附或化學吸附於基板的表面上。於具體態樣,腔室裡的電偏壓可以吸引離子化的反應物氣體至基板。基板具有有限數目的表面位置來吸附反應物,例如未成對的電子或懸盪的鍵結。當基板上所有可得的表面位置或懸盪的鍵結被反應物佔據時,反應物將繼續吸附於基板表面上,直到吸附階段結束為止。結果,可以形成包括單層的反應物原子和單層的底下基板原子之產物層。於反應物吸附步驟520期間,電漿和離子維持在低能量(例如10電子伏特或更小)以避免反應物吸附步驟520期間的蝕刻或使之降到最小。
完成吸附階段502之後,可以進行蝕刻階段550。如上面所提到的,蝕刻階段550可以包括電位差增加步驟570。於此步驟期間,增加電漿和基板之間的電位差,使得來自電漿的離子可以在所要的能量範圍下轟炸基板。舉例而言,可以選取低於物理濺射門檻但高於化學輔助濺射門檻的離子能量。如上面所提到的,電位差可以藉由施加DC或RF電壓至電漿、基板或二者而增加。此外,施加的電壓可以是連續的(如圖2所示)或脈衝化的(如圖4所示)。如果施加脈衝電壓,則RF脈衝可以在電壓脈衝之間施加於電漿源。於某些具體態樣,施加RF脈衝可以包括使電漿源(例如ICP來源)接受週期性方波函數,其中方波從零功率延伸到預定的功率。不被理論所限,預定的高電壓能夠產生具有足夠離子能量的離子以移除產物層。於特定的情形,具有此能量的離子建立了IED的離子能量下限。反過來說,可以了解預定的高電壓則能夠產生具有不損傷基板之較低離子能量的離子。於特定的情形,具有此能量的離子建立了IED的離子能量上限。更特定而言,用於ICP電漿的高電壓脈衝化是於電漿脈衝化步驟560期間選取,使得IED整個落於這些參數裡。
於視需要可選用的電漿脈衝化步驟560期間,方波函數可以脈衝化電漿達大約1微秒和大約500微秒之間;或者是大約10微秒和大約250微秒之間;以及於特定的情形,電漿脈衝化達大約25微秒和大約100微秒之間。再者,方波函數把電漿脈衝化至大約零電壓達大約10微秒和大約750微秒之間;或者是大約50微秒和大約500微秒之間;以及或者是大約100微秒和大約250微秒之間。當電漿脈衝化到大約零功率時,仍保持著離子的餘輝。不被理論所限,餘輝包含IED裡需要用於移除產物層的離子。
於視需要可選用的電荷中和化步驟552,反電極視需要可選擇施加以負偏壓。於某些情形,反電極可以施加以負電壓,其吸引帶正電的離子到反電極。帶正電的離子轟炸反電極可以產生高能量二次電子,其可以幾乎垂直入射地轟炸基板。此外,二次電子可以提高電漿密度並且降低整體的電子溫度Te
在施加於電漿的脈衝之間,邊界電極可以施加以正電壓脈衝。於特定的情形,方波函數把邊界電極DC脈衝化至帶正電的電偏壓達大約10微秒和大約750微秒之間;或者是大約50微秒和大約500微秒之間;以及或者是大約100微秒和大約250微秒之間。於一些情形,帶正電的電偏壓僅存在於高電壓電漿脈衝不存在時。另外可以選擇的是帶正電的電偏壓存在於蝕刻產物層的整個期間250。
於特定的情形,基板支持物可以接地、以RF/DC供給電力或其組合。於具體態樣,基板台座可以與邊界電極相稱地加以脈衝化。此外,由於特定的基板可能具有不同的導電度,故脈衝化基板支持物的偏壓提供了控制IED的額外機制,如前述系統中的任何電極之做法。更特定而言,基板支持物或許可施加以負DC電壓。另外可以選擇的是在絕緣基板或其他所選條件下,對基板支持物提供以高頻率RF脈衝或設計的DC脈衝。
ALET脈衝化:如上面所提到的,視需要可選用的電漿脈衝化於蝕刻階段550期間提供了控制進給氣體解離和IED的能力。於蝕刻階段550期間提供電漿脈衝化也可以減少衝擊基板之離子的角度分布。在無碰撞的條件下,角度散佈是由方程式1所給定:
對於鞘電壓而言,V=Vs=50伏特,Te=0.3電子伏特,則角度散佈θIAD=3°。此小角度分散比得上高很多之離子能量的傳統電漿蝕刻,這對於獲得穿過多個原子層的一致性深蝕刻,以及使離子能量從斜角碰撞轉移到特徵側壁與造成側壁損傷降到最低,均極為合意。
熟於此技藝者可以了解電荷、離子化,電磁電位的所有討論僅為範例性的,並且在一具體態樣中的任何物質狀態討論係相等地適用於相對的狀態。更特定而言,雖然某些非限制性的範例描述了帶負電的離子和電極之間的關係,但熟於此技藝者將體認帶正電的離子和電極之間的交互作用會遵循類似的性質。
雖然已經顯示和敘述了本發明的較佳具體態樣,但是熟於此技藝者可以做出修改而不偏離本發明的精神和教導。在此所述的具體態樣僅為範例性的,並非打算是限制性的。在此揭示的本發明可能有許多變化和修改並且是在本發明的範圍裡。在明確陳述數字範圍或界限的情況,此種表達的範圍或界限應該理解為包含落於明確陳述之範圍或界限裡的類似大小之反覆循環範圍或界限(譬如從大約1到大約10包括了2、3、4...等;大於0.10包含了0.11、0.12、0.13...等)。相對於申請專利範圍的任何元件來使用「視需要可選擇」一詞,係打算意指需要該元件,或者另外可以選擇的是不需要該元件。二種選項都是要在申請專利範圍裡。使用例如包括、包含、具有...等的較廣用語應該了解為提供對於例如由...所構成、基本上由...所構成、實質上包括和類似者之較窄用語的支持。據此,保護範圍並不受限於上面的敘述,而僅受限於接下來的申請專利範圍,其範圍包含請求項標的之所有的等效者。每項申請專利範圍係併入說明書以做為本發明的具體態樣。因此,申請專利範圍是進一步的描述,並且附加於本發明的較佳具體態樣。【先前技術】一節中討論的參考文獻並非承認它就是本發明的先前技術,特別是任何可能具有本案優先權日之後的出版日的參考文獻。在此引述之所有專利、專利申請案、公告案的揭示係藉此併入以為參考,併入的程度達到提供補充在此所列之範例性的、程序上的或其他的細節。
為了進一步示範本發明之多樣的示範性具體態樣,提供以下的範例:
範例
實驗設備:圖3和6顯示用於此研究之實驗設備的示意圖。感應耦合電漿(ICP)是由長17.8公分、內徑8.6公分之氧化鋁管裡的3圈螺旋線圈所點燃。銅質法拉第屏蔽避免了線圈和電漿之間的電容耦合。放電管經由轉接凸緣而連接於立方體的不鏽鋼(SS)腔室。凸緣中的水道是用來冷卻法拉第屏蔽以及避免放電管過度加熱。系統是由襯以乾式幫浦的300 l/s渦輪幫浦所泵出。壓力是由安裝於電漿下游的MKS 629電容壓力計在0.1托耳全比例設定下所測量。沒有電漿的校準實驗顯示在放電區域的壓力比在壓力計位置所測量的高出大約30~40%。底下報導的壓力都是校準後的數值並且是指電漿區域。
不鏽鋼電極構成電漿源的頂電極。頂電極具有焊接於電極的三個同軸圓柱SS環,以增加總表面積到大約300平方公分,並且使來自披覆腔室的濺鍍金屬降到最少。於朗謬探針測量期間,當探針偏壓接近VP時,發現大的表面積是必要的。然後需要大的接地表面以供應適當的電子流,以避免人為增加VP。99.999%的高純度氬氣經由在頂電極中央的直徑1毫米孔洞而饋入放電管。使用函數產生器(HEWLETT 型號3325A)饋入功率放大器(ENI型號A-500)來供應13.56百萬赫茲的電漿功率。放大器的輸出經由L型匹配網路而連接於線圈。向前的和反射的功率是由置於匹配網路之前的同軸Bird計來監視。對於典型的連續波(cw) 300瓦、14毫托耳的氬電漿而言,反射功率為1~2瓦。由於功率漏失的緣故,逸散於電漿的真正功率係稍低於傳遞至匹配盒的淨電力。對於脈衝電漿操作,RF脈衝是由另一函數產生器(BNC型號645)加以調幅。使用四通道示波器(型號TDS 2024B)來監視波形。用於脈衝電漿實驗的基本情況條件為:120瓦的時間平均向前功率、8瓦的反射功率、10千赫茲(kHz)的功率調變頻率、20%的負載循環、14毫托耳的壓力、每分鐘40標準立方公分(sccm)的氬氣流率。於脈衝的100微秒時期,施加的調變頻率和負載循環造成20微秒的電漿開啟(有作用的光輝)時間和80微秒的電漿關閉(餘輝)時間。
實驗操作概觀:圖2和4顯示用來控制電漿物理和化學的時序範例。首先,差不多1秒的連續波、主要的RF ICP是由低功率輔助電漿的尾端所點燃,並且提供反應物(譬如Cl)以形成化學吸附層。於此期間,離子轟炸能量對於任何蝕刻而言都太低(小於10電子伏特)而無法發生。其次,典型約0.5秒的脈衝化ICP時期移除了化學吸附層(例如SiClx)。脈衝化主要的RF ICP電漿源功率(譬如13.56百萬赫茲施加之RF電壓的方波調變)具有幾個益處。首先,電子能量分布函數(EEDF)於循環之電力關閉部分(餘輝中)的前幾微秒期間快速冷卻,而無實質漏失電漿密度(典型大約100微秒的關閉時間)。所得的較低能量時間平均EEDF提供某些程度地控制離子進給氣體的解離度。其次,於大約100微秒餘輝的大部分時期,可以產生單一有能量的離子流束至基板,如本實驗室最近所示範的。於此範例,正DC電壓脈衝係施加至邊界電極,此提升電漿電位並且把正離子「推」(pushing)向較低電位的表面。因此,接地基板係轟炸以能量等於VDC1的離子,如圖7和8所示。由於控制離子能量分布對於實現化學吸附鹵化層的化學濺射而不物理濺射底下的基板而言很關鍵,故此獲得極窄IED(因而有極度選擇性)的方法是讓ALET達成單層正確度的有效方式。此脈衝化主要的ICP連同同步脈衝化浸沒的電極偏壓電壓時期是夠長的(譬如0.5秒),足以濺射掉鹵化蝕刻產物層。
淨的正離子轟炸可以造成正電荷累積於絕緣基板上。然而,邊界電壓脈衝回到零並且電漿已有機會趨近於其自然Vp之後,電位高於接地電位的任何帶電表面係比正離子流束先接收多餘的電子流束,此把它們的電位帶回到浮動電位,其係接近接地電位。為了加速正電荷中和化,大的負DC偏壓可以施加於反電極,同時開啟連續波ICP電力,如圖3、5、6所示。此負電壓對Vp沒有影響。然而,反電極所造成的高能量離子轟炸產生二次電子,其被加速至完全鞘電位。這些高能量「彈道的」電子具有低散射截面,並且幾乎垂直入射地轟炸基板,此在即使為高深寬比之絕緣結構的底部也補償了正電荷。彈道的電子對於整體電漿而言也可以具有益處,例如提高電漿密度和降低整體的Te
另外可以選擇的是就絕緣基板而言,餘輝時期應用同步脈衝RF電壓至基板電極會導致負的自我偏壓以及有能量的正離子轟炸基板。視電漿密度和施加的頻率而定,RF偏壓基板上的離子能量可以在平均鞘電位出現尖峰或出現雙重尖峰。所得的離子能量分布正常是太寬而無法達成ALET所需的極度選擇性。雖然應用極高頻率(100百萬赫茲)的偏壓可以窄化IED,但是IED的寬度係相依於離子質量,此使得混合氣體電漿的IED很難控制。窄離子能量分布或許可以用設計的偏壓脈衝來獲得。對於導電基板而言,同步脈衝DC負偏壓可以於餘輝期間直接施加於基板支持物電極上,並且幾乎單一有能量的離子轟炸可以達成於任何所要的能量,方式就如同上述於邊界電壓的情形。
最簡單組態的ALET步驟則示範於圖2的範例,其使用Cl2而在Ar(氬)中蝕刻Si做為範例。於步驟1(典型持續1秒),樣品暴露於連續波的RF感應耦合電漿,而基板在接地電位。電漿大部分是惰性氣體,而帶有極少量(小於1%)的Cl2。在感應來源有靜電屏蔽和比較高的壓力下,因為離子衝擊基板的能量會小於化學濺射門檻,所以步驟1期間不會發生蝕刻。Cl原子在室溫下並不蝕刻p型或適度摻雜的n型Si。從進給氣體中之Cl2解離的Cl原子會允許在大約一秒內形成氯化產物(譬如對於Si蝕刻的SiClx)的飽和層。
於步驟2,持續大約0.5秒,會使用脈衝化主要的ICP,並且正DC偏壓脈衝會在每個主要ICP脈衝的餘輝中同步施加於邊界電極大約10微秒,以化學濺射產物層。另外可以選擇的是步驟2的偏壓或許可在所選條件下為施加於(導電)基板電極的負DC電壓或者是施加於(絕緣)基板電極的高頻RF脈衝或設計的脈衝。此步驟會以來自蝕刻產物的光學發射所監視,其提供化學濺射產物的基本資訊而且是控制方法的一種方式。蝕刻速率為每一到幾秒一個單層,亦即對於未來裝置裡的奈米尺度結構而言相當實用,並且比傳統基於脈衝化氣體和沖洗規劃的原子層蝕刻快很多。
於蝕刻步驟期間,離子能量選擇為低於物理濺射門檻但高於化學輔助濺射的門檻。此設計提供極高選擇性而又有最小的損傷,此係由於蝕刻產物的化學吸附層被化學濺射掉之後,蝕刻會停止(自我受限的)。在多樣的條件下,Si的門檻值典型為10~25電子伏特。
朗謬探針:使用朗謬探針(Smart Probe,Scientific System)來測量離子和電子密度(ni和ne)、電漿電位(Vp)、浮動電位、電子能量機率函數(EEPF)。探針尖端的直徑為0.19毫米,暴露長度為40毫米。補償電極和RF調節器使電流-電壓(I-V)特徵由於電漿電位振盪所造成的扭曲降到最小。這在本系統並不是問題,因為法拉第屏蔽的緣故,電漿電位的尖峰對尖峰振盪僅1~2伏特。探針可沿著放電管軸移動以獲得空間解析測量。快速資料擷取電子系統能夠平均100秒的I-V特徵(在給定的位置和給定的電漿條件下)以減少雜訊。電流-電壓(I-V)特徵係使用製造商供應的軟體來解讀。此分析依賴於Laframboise之用於無碰撞鞘的軌道運動限制(orbital motion-limited,OML)理論。於I-V的離子流區域,探針上有大的負電壓時,鞘中的碰撞(特別是在較高壓力)將造成離子流衰減。所以該分析將低估電漿中的離子數量密度。由於正離子密度藉由施加範圍在約0到-50伏特的電壓而從I-V特徵的離子飽和區域擷取出來,故正離子密度在約10毫托耳和以上的壓力逐漸被低估。探針也操作於「轉換盒」(boxcar)模式以於脈衝電漿操作期間測量時間解析的電漿特徵
遲滯場能量分析器:建構遲滯場能量分析器(retarding field energy analyzer,RFEA)來測量通過接地基板台座上之格網的離子能量分布。RFEA是由三個鎳格網和隔開3毫米之不鏽鋼電流收集板的堆疊所做成,如圖6裡的插圖所示。具有50%的開放度、一側上有18毫米方孔的頂格網係附著於具有0.3毫米針孔而接觸著電漿的接地SS板。此格網避免電漿鞘模塑於針孔上方。中格網和底格網各有85%的開放度,一側上有293毫米的方孔。中格網係偏壓以-30伏特來排斥來自電漿的電子,而底格網係偏壓以鋸齒變化的電壓並且做為能量鑑別器來測量離子能量分布(IED)。使用電流放大器(型號427)來測量收集板上的離子流。使用脈衝產生器和功率放大器(AVTECH AVR-3-PS-P-UHF和AV-112AH-PS),將20赫茲的變化電壓施加於鑑別器格網。實驗是透過LabVIEW(NATIONAL )程式所控制。平均5000個I-V特徵來減少雜訊,得出「平滑的」(smooth) IED。RFEA由210 l/s的渦輪幫浦所差動泵出,以使分析器裡的離子與中性物碰撞降到最小。分析器裡的壓力估計要比放電管裡的壓力低二個數量級,導致無碰撞的離子流動。RFEA的能量解析度使用公式~DE/E=2%來估計。
圖9顯示離子密度和電子密度為沿著放電管軸垂直位置的函數,其係由朗謬探針(LP)在不同的壓力下所測量。電荷密度在線圈中央周圍達到最大,並且隨著壓力增加而增加。壓力50毫托耳時達到最大離子密度每立方公分1.5×1012個。如上所言,探針鞘裡的離子與中性物碰撞將造成正離子密度在較高壓力下逐漸低估;所以正離子密度或許可實質超過在50毫托耳下所記錄的數值。電子密度和離子密度在3、7、14毫托耳的壓力下是幾乎相等的。對於28毫托耳,特別是在50毫托耳而接近中央時,電子密度則低於對應的離子密度。此係歸因於當探針偏壓接近VP時,大的電子流從電漿抽出。表面上來看,邊界電極接觸著電漿的接地表面不是夠高以補償在這些高密度的電子漏失。雖然朗謬探針具有參考電極來感測此附加的VP偏移並且修正之,但是僅能做到觀察到正確VP之前是否抵達探針上的最大正電壓而已。朗謬探針在垂直位置為170毫米所測得的VP和Te係顯示於每個對應壓力旁邊的括號中。朗謬探針移除之後,RFEA定位在z=170毫米。無任何施加偏壓下,以cw電漿、300瓦功率、7到50毫托耳壓力所測得的IED,其出現尖峰的能量幾乎等於VP,如朗謬探針所測量的。
用於時間解析偵測蝕刻產物的光學發射光譜儀:可以使用光學發射光譜儀以於有能量的離子流束脈衝期間監視從表面所化學濺射之蝕刻產物的時間相依性。對於以氯來進行Si的ALET而言,我們預期將觀察到來自Si、SiCl、SiCl2產物的發射,如同於Cl2電漿之脈衝化雷射感應的熱脫附所發現的。(Si和SiBr發射也發現於HBr電漿)。對於GaN的蝕刻而言,預期有來自Ga和GaCl的強發射。如果N2是GaN蝕刻的主要產物,則可以經由N2光學發射而於電漿中輕易偵測到。除了由雷射頻率與SiCl和SiBr的激發態之間的共振所激發的雷射感應螢光,來自所有這些物種的發射也被蝕刻產物的電子衝擊(電子衝擊解離之後,一次或二次電子)所激發,並且可以在靠近基板表面的區域觀察到。譬如來自SiCl的發射提供了化學濺射產出為瞬間Cl覆蓋之函數的一種度量,也是每個離子脈衝所移除之材料總量的一種度量。此測量可以用於即時控制蝕刻速率(譬如或許可調整離子脈衝持續時間以獲得固定不變的蝕刻速率)。光學發射量測定法可以是測量絕對的Cl密度,如幾個ICP系統之前所示範的。
原位的雷射感應熱脫附(laser-induced thermal desorption LITD):於所選的實驗,使用雷射感應熱脫附來監視Cl、Br和可能其他表面物種的瞬間覆蓋度。隨著基板於電漿中進行蝕刻,此方法可以用10奈秒(雷射脈衝寬度)的時間解析度來偵測1%的單層覆蓋度,如圖10所示。使用可得的雷射,每秒高達80或5000個的每個雷射脈衝快速加熱表面,導致電漿中形成之典型一半的Si鹵化物(Cl或Br)層發生熱脫附。該表面因而可以於化學吸附步驟期間以及蝕刻步驟期間探測為時間的函數。
原位XPS和原位AFM/STM表面粗糙度測量:暴露於電漿之後,樣品在真空下轉移到超高真空腔室並且由XPS分析。進行角度解析測量以測量反應物(例如Cl和Br)的穿透深度,也獲得單鹵化矽、二鹵化物、三鹵化矽以及「≡Si‧」部分(Si有3個對Si的鍵結和1個懸盪鍵結)的深度分布。在遮罩的樣品上,使用電子蔭蔽以定出暴露於斜角度離子轟炸之側壁的特徵。這些方法已使用於此系統以於Si在Cl2和HBr電漿中蝕刻之後定出表面的特徵。側壁的原位特徵化對於GaN的情況特別重要。對於此材料,XPS提供關於表面化學配比之任何改變為ALET方法參數之函數的豐富資訊。原位的AFM-STM儀器則允許以原子解析度而在處理的表面上測量,而不暴露於大氣。由於快速的ALET提供原子層正確度,故重要的是避免大氣污染物所造成之即使是次於單層的覆蓋,其可以扭曲實驗的發現。這些測量將幫助辨別出於重複ALET循環之後使表面粗糙度降到最小的方法參數,而通往下探到每個循環一個單層的蝕刻正確度。
連續DC偏壓對邊界電極的效應:圖11顯示14毫托耳、300瓦、cW之Ar電漿的IED,其在連續施加於邊界電極的不同DC偏壓值下。對於每個DC偏壓,朗謬探針在RFEA位置所測得的VP值於圖11中是以垂直虛線來顯示。測量的VP值極為符合IED的尖峰能量。對於DC偏壓的正值,VP有所提升,而偏移IED到較高能量。對於負的DC偏壓,雖然VP一開始有小衰落,但是隨著施加的偏壓變得更負,它就飽和了。當相較於沒有DC偏壓的測量時,IED的尖峰對於施加4、8、12伏特的DC偏壓分別偏移了3、7、11電子伏特。施加的偏壓和尖峰離子能量之間的1伏特差異可能是肇因於VP的輕微梯度。當施加負的DC偏壓時,尖峰離子能量的偏移要比沒有偏壓時低4伏特而飽和。可輕易理解施加DC偏壓於邊界電極上所造成的VP偏移。正的偏壓從電漿汲取電子而提升VP,如此則所有但除了最高能量的電子以外都保持侷限於電漿裡。施加小的負偏壓(小於幾個Te)時,VP變得不那麼正,因為往邊界電極的電子流被切斷了。邊界電極上的較大負偏壓則造成離子流僅有微不足道的改變,幾乎不影響VP。假設電漿密度或Te沒有擾動,則離子流在夠大的負偏壓下飽和。
脈衝電漿:為了獲得幾乎單一有能量的離子轟炸,減少進入鞘的離子能量散佈以及維持固定不變的鞘電位可以是合意的。由於電漿電位的RF振盪是由法拉第屏蔽所消除,故進入鞘的離子能量散佈與Te成比例。因而,降低Te應該會減少能量散佈。Te可以藉由調變電漿功率(例如脈衝電漿)而降低。當DC偏壓在這些條件下施加於邊界電極時,離子可以加速至所要的能量而具有窄的能量散佈。圖12顯示不同壓力下之電子溫度的時間解析朗謬探針測量。對於給定的壓力,電漿開啟之後則Te快速增加、衝過頭、然後抵達近似穩定態的數值。如所預期的,穩定態的Te隨著壓力增加而降低。電漿關閉之後,Te以逐漸緩慢的速率降低拉長至餘輝中。此外,Te在較低壓力下衰減得較快。於Ar電漿,餘輝期間就能量低於最低激發態(在11.55電子伏特的3P2亞穩定狀態)的電子而言,朝向壁的擴散是主要的冷卻機制。較低的壓力導致較快的擴散速率,因此Te於餘輝中衰減得較快。
邊界電極上的連續DC偏壓:圖13顯示當DC偏壓連續施加於邊界電極時而在脈衝電漿條件下的IED。對於每個DC偏壓值,IED具有二個尖峰。在較高能量的較寬尖峰對應於當電漿開啟時轟炸基板的離子。這些尖峰的形狀和能量幾乎等同於圖11顯示之cw電漿所觀察到的。在較低能量的較尖銳尖峰對應於餘輝期間轟炸基板的離子。這些尖峰的平均能量對應於施加的DC偏壓。於餘輝,VP在沒有DC偏壓下係抵達極低值。當施加正DC偏壓時,電漿電位差不多等於該DC偏壓。因為電子能量(或Te)快速下降,所以IED的寬度於餘輝中小很多。類似的結果已顯示藉由施加DC偏壓於脈衝電容耦合電漿的餘輝會有幾乎單一有能量的IED。
邊界電極上的同步脈衝化DC偏壓:雖然上面的做法產生窄而可調整的IED,但它也留下寬而未良好控制的離子群,其於循環的電漿開啟部分進入鞘。吾人可以藉由於電漿開啟時期關閉DC偏壓,而減少這些離子能量成低於大多數離子輔助之表面反應的門檻。下文報導的結果是來自脈衝電漿操作,其於餘輝期間的特定時間具有同步脈衝化正DC偏壓施加於邊界電極。
壓力的效應:於餘輝中施加+24.4伏特的DC同步偏壓、於時間窗口Δtb=45~95毫秒期間、對於不同數值壓力的IED測量係顯示於圖14。在約22~23伏特的尖銳尖峰對應於DC偏壓,而在較低能量的較寬尖峰則起因於循環的電漿開啟部分。隨著壓力增加,較寬的尖峰偏移至較低能量,此係由於Te伴隨著降低(如圖9所示)所以VP也降低。圖14所示出現二尖峰之IED的最重要者在於寬尖峰和對應尖銳尖峰之間的間隔可以藉由改變DC偏壓和反應器壓力而改變。此種控制對於蝕刻膜相對於底下基板達成極高選擇性係極為關鍵。可以選擇壓力,如此則低能量的尖峰不會產生蝕刻。可以選擇DC偏壓,使得高能量尖峰落於蝕刻膜和蝕刻基板的二門檻之間,此假設這二門檻之間有充分分開。每個尖峰底下的離子所佔比例也可以最佳化,此係藉由改變脈衝電漿的負載循環和/或施加DC偏壓期間之餘輝中的時間長度,如之後所討論的。
餘輝中之偏壓時機的效應:餘輝中的IED也是以施加於邊界電極的同步DC偏壓(+24.4伏特)、不同的開始時間(tb)和時間窗口(Δtb)來測量。以10千赫茲、20%負載循環的120瓦平均功率、14毫托耳以及40 sccm的Ar流動速率來產生脈衝電漿。DC偏壓施加於早期餘輝和晚期餘輝的IED分別顯示於圖15(a)和(b)。於圖15(a),偏壓化逐漸開始於餘輝的晚期並且結束60毫秒於脈衝或40毫秒於餘輝,因而Δtb的變化為18到38毫秒。如於圖14所示,較高的能量尖峰對應於施加的偏壓,而較低的能量尖峰對應於沒有偏壓的VP。當偏壓化開始於tb=22毫秒時,即電漿關閉之後僅2毫秒,Te仍然高(如圖12所示),導致個別高能量尖峰的較寬寬度。隨著tb進一步延遲於餘輝中,Te降低,IED之較高能量的尖峰寬度也降低。於圖15(b),當Te隨著時間改變很少時,如圖12所示,偏壓化係深入餘輝才開始。因此,IED的寬度幾乎不受偏壓化起始時間tb的影響。於圖15(a)和(b),收集的離子流都隨著Δtb增加而變大。
於圖16,偏壓起始時間tb改變,同時保持固定不變的Δtb為50微秒或15微秒。輸入脈衝電漿的平均功率為120瓦。當偏壓化窗口長達50微秒時,相較於Te衰減時間約10微秒,則偏壓化起始時間幾乎不影響離子能量分布,如於圖16(a)所見。此係因為在這些偏壓窗口上的平均Te既低且大約相等。然而當Δtb短達15微秒時,早期餘輝的偏壓化起始時間(tb=20微秒)則導致寬的IED尖峰,如圖16b所示。隨著tb偏移至餘輝中的較晚時間,IED的寬度逐漸縮小。再次地,IED的寬度於對應的偏壓化窗口期間係關聯於Te
進行進一步的實驗來改變電漿功率調變頻率(5、7.5、10千赫茲),同時保持固定不變的Δtb=50微秒,如圖17所示。以14毫托耳的Ar壓力、20%負載循環、120瓦的平均功率來產生脈衝電漿。隨著調變頻率降低並保持相同的負載循環,作用光輝和餘輝的持續時間都增加。於此例,對於5千赫茲、7.5千赫茲、10千赫茲的調變頻率,tb分別為145微秒、75微秒、45微秒。對於所有三個調變頻率,低能量尖峰幾乎相同,此係因為作用光輝的持續時間相較於Te的衰減時間和因此Vp的衰減時間而言很長。另一方面,隨著調變頻率降低,較高能量的尖峰變得較窄和較小,此係因為電漿在較低的調變頻率會衰減比較久,導致較低的Te。減少調變頻率而造成尖峰的半高寬(FWHM)窄化係更清楚地由圖17(b)的正規化曲線所顯示。
在二不同負載循環(20%和50%)之14毫托耳Ar脈衝電漿的IED顯示於圖18。+24.4伏特的同步DC偏壓於餘輝中從70微秒施加到98微秒。對於在10千赫茲調變頻率的20%和50%負載循環,平均功率分別為120瓦和280瓦。對於較長的負載循環而言,尖峰底下的面積則較高。對於20%負載循環而言,較高的能量尖峰具有較小的寬度,此係由於電漿衰減得比較久,導致較低的Te和Vp。不像20%負載循環的情形,50%負載循環時的Te於施加偏壓期間仍相當高,如圖12所示,導致殘餘的Vp高達3.7伏特,相較於20%負載循環的情況下僅1.9伏特。此殘餘Vp的差異解釋了圖18之個別IED的不同寬度。IED尖峰底下的面積係正比於偏壓化窗口期間所收集的離子電荷。此電荷使用離子Jo=e ns uB的Bohm流束(其中ns是在鞘邊緣的離子密度,uB是Bohm速度)以及已知的偏壓化時間來估計。使用測量的離子密度nb(ns=0.6 nb)和在偏壓期間的平均電子溫度,估計的離子電荷的確發現係正比於圖15~18之個別尖峰底下的面積。
IED的能量散佈:於圖14,尖峰對應於施加之DC偏壓的半高寬(FWHM)隨著壓力從7毫托耳的1.7電子伏特增加到50毫托耳的2.5電子伏特。這些尖峰要比來自無偏壓之作用光輝的離子窄很多,雖然仍比RFEA的能量解析度還寬。後者估計為DE/E約為2%或是E=25伏特下之0.5電子伏特的FWHM。差動泵出之RFEA中的碰撞可以忽略,此係由於局部壓力要比放電壓力低大約二個數量級,使得約15公分的離子平均自由路徑(對應於所使用的最高電漿壓力)遠遠長於分析器之約1公分的長度。某些離子和中性物的碰撞確實發生於鞘中。雖然這些碰撞或許可在較高壓力下促成IED朝向尖峰左邊的「尾巴」(tail),但是預期不是造成餘輝中觀察到之1.7到2.5電子伏特寬度的主要原因。舉例來說,離子在14毫托耳下的平均自由路徑大約為λi=0.2公分,其比約250微米的鞘寬度(由Child定律所估計)大十倍。此導致離子碰撞機率Pc=1-exp(-s/λi)為大約10%。注意電漿密度係隨著壓力而劇烈增加,致使鞘寬度降低,此反制了平均自由路徑隨著壓力的降低。離子和中性物於鞘前(pre-sheath)的碰撞可以顯著促成IED的散佈。視離子碰撞的影響而定,IED的FWHM可以為幾個Te
(1)(2)‧‧‧化學吸附步驟
(3)(4)‧‧‧蝕刻步驟
212‧‧‧吸附階段
252‧‧‧蝕刻階段
300‧‧‧原子層蝕刻(ALET)系統
301‧‧‧基板
302‧‧‧電漿源
304‧‧‧屏蔽
306‧‧‧基板支持物
308‧‧‧邊界電極
310‧‧‧反電極
312‧‧‧入口
314‧‧‧脈衝化系統
316‧‧‧支持系統
318‧‧‧第一電壓系統
320‧‧‧第二電壓系統
322‧‧‧氣體來源
324‧‧‧幫浦
326‧‧‧電漿腔室
328‧‧‧頂壁
330‧‧‧底壁
332‧‧‧側壁/圓柱壁
334‧‧‧差動式泵出管道
336‧‧‧冷卻管道/熱管道
350‧‧‧輔助電漿腔室
352‧‧‧輔助電漿源
500‧‧‧方法/原子層蝕刻(ALET)方法
502‧‧‧吸附階段
504‧‧‧基板拋光定位步驟
510‧‧‧反應物形成步驟
520‧‧‧反應物吸附步驟
550‧‧‧蝕刻階段
552‧‧‧基板電荷中和化步驟/電荷中和化步驟
560‧‧‧電漿脈衝化步驟/電漿源脈衝化步驟
570‧‧‧電位差增加步驟
580‧‧‧蝕刻產物移除步驟
為了詳加描述本發明的較佳具體態樣,已經參考了附圖,其中:
圖1示範傳統的原子層蝕刻(ALET)方法。
圖2示範根據本揭示一具體態樣的範例性ALET方法。
圖3示範根據本揭示一具體態樣的範例性ALET系統。
圖4示範根據本揭示另一具體態樣的另一範例性ALET方法。
圖5示範根據本揭示另一具體態樣的另一範例性ALET方法。
圖6示範根據本揭示另一具體態樣的另一範例性ALET系統。
圖7示範測量的離子能量分布(IED),其係施加30、50、70、100伏特的DC電壓至脈衝電漿餘輝中的邊界電極而獲得。
圖8示範模擬的離子能量分布(IED),其係於脈衝電漿的餘輝時期施加30、50、70、100伏特的DC電壓至邊界電極而獲得。
圖9示範離子和電子的密度為沿著放電管軸之垂直位置的函數。
圖10示範模擬的雷射感應熱脫附之後Si基板上的SiCl和SiBr雷射感應螢光。
圖11示範在固定壓力下、對於連續施加在邊界電極之不同DC偏壓的IED。
圖12示範對於不同壓力之電子溫度的解析朗謬探針測量。
圖13示範在DC偏壓連續施加於邊界電極下的正規化IED。
圖14示範在不同壓力而在脈衝電漿條件下的IED。
圖15示範於脈衝電漿的餘輝期間、具有同步DC偏壓邊界電極脈衝、在不同時間的IED,其中(a)是偏壓起始於早期餘輝的圖形,而(b)是偏壓起始於晚期餘輝的圖形。
圖16示範於脈衝電漿的餘輝期間、具有同步DC偏壓邊界電極脈衝、在相同時間的IED圖形,其中(a)是偏壓持續時間△tb=50微秒的圖形,而(b)是偏壓持續時間△tb=15微秒的圖形。
圖17示範於脈衝電漿的餘輝期間、具有同步DC偏壓、不同電漿調變頻率的IED圖形,其中(a)是偏壓持續時間△tb=50微秒的圖形,而(b)是附上FWHM的正規化IED圖形。
圖18示範於脈衝電漿的餘輝期間、具有同步DC偏壓邊界電極脈衝、不同負載循環的IED圖形。
212‧‧‧吸附階段
252‧‧‧蝕刻階段

Claims (20)

  1. 一種用於快速原子層蝕刻之系統,其包括:脈衝電漿源,其包括:螺旋線圈電極,其圍繞著管而配置;入口,其流體連通於製程氣體供應器;以及反應腔室,其流體連通於脈衝電漿源,其中該反應腔室包括:基板支持物;以及反電極;以及邊界電極,其配置成同心圍繞著基板支持物,其中在餘輝期間將正DC電壓脈衝施加至邊界電極,於此期間在化學吸附層形成之後將脈衝電漿源關閉。
  2. 如申請專利範圍第1項的系統,其中螺旋線圈電極耦合於脈衝產生器,其中脈衝產生器包括:至少一射頻功能產生器;以及阻抗匹配網路。
  3. 如申請專利範圍第1項的系統,其中反電極配置成鄰近於腔室的頂部並且至少部分地延伸至腔室中。
  4. 如申請專利範圍第3項的系統,其中反電極配置成垂直相對於基板支持物。
  5. 如申請專利範圍第1項的系統,其中入口連接於選自以下所構成之群組的氣體來源:氧、貴氣體、鹵素、氮、氫及其組合。
  6. 如申請專利範圍第1項的系統,其中邊界電極配置 成差不多水平鄰近於反應腔室裡的基板支持物。
  7. 如申請專利範圍第1項的系統,其中基板支持物包括脈衝電極。
  8. 一種蝕刻基板的方法,其包括:把進給氣體引入電漿腔室,該進給氣體包括惰性氣體和反應物氣體的混合物;把基板配置於電漿腔室;於第一時期時間段從進給氣體產生電漿,其中該電漿包含反應物和離子,其中於第一時期時間段的第一部份施加RF功率於電漿源,且其中於第一時期時間段的第二部份關閉該RF功率;於第一時期時間段以反應物來飽和基板表面而形成產物層,該產物層包括單層的反應物種和第一單層的基板原子;以及於第二時期時間段把產物層暴露於離子而移除產物層,其中第一電極及第二電極係建構成於第二時期接收偏壓脈衝,且其中第一時期和第二時期交替發生。
  9. 根據申請專利範圍第8項的方法,其中電漿源於第一時期時間段的第一部份係施加以第一RF功率程度。
  10. 根據申請專利範圍第9項的方法,其進一步包含於第二時期施加RF功率脈衝於電漿源,其中該RF功率脈衝具有大於第一RF功率程度的第二RF功率程度。
  11. 根據申請專利範圍第10項的方法,其中偏壓是從至少一於第一時期施加的RF脈衝移除大約10微秒。
  12. 根據申請專利範圍第11項的方法,其中第一電極於第二時期係施加以正偏壓脈衝,其中第一電極提供正偏壓脈衝至電漿。
  13. 根據申請專利範圍第11項的方法,其中第二電極於第二時期係施加以負偏壓脈衝,以及其中第二電極電耦合於基板以提供負偏壓脈衝至基板。
  14. 根據申請專利範圍第8項的方法,其中移除產物層包含增加電漿和基板之間的電位差,如此以把來自電漿的離子導向基板。
  15. 根據申請專利範圍第14項的方法,其中增加電位差的進行方式是施加正電壓至電漿和施加負電壓至基板當中的至少一者。
  16. 根據申請專利範圍第8項的方法,其中進給氣體是連續引入腔室。
  17. 一種處理基板的方法,其包括:把來自電漿餘輝的離子導向飽和以第一物質的基板表面,其中以該離子導向來移除第一物質和至少二個單層的基板原子,其中施加於電極的偏壓脈衝係施加大約1微秒和大約20微秒之間。
  18. 根據申請專利範圍第17項的方法,其中第一物質包括反應物種。
  19. 根據申請專利範圍第17項的方法,其進一步包括:提供脈衝化RF功率至電漿,其中在RF脈衝之間來進行離子的導向。
  20. 根據申請專利範圍第17項的方法,其中依序交替施加於電極的偏壓脈衝和施加於電漿源的RF功率脈衝。
TW099143897A 2009-12-15 2010-12-15 用脈衝電漿之原子層蝕刻 TWI567819B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US28657209P 2009-12-15 2009-12-15

Publications (2)

Publication Number Publication Date
TW201140687A TW201140687A (en) 2011-11-16
TWI567819B true TWI567819B (zh) 2017-01-21

Family

ID=44121419

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099143897A TWI567819B (zh) 2009-12-15 2010-12-15 用脈衝電漿之原子層蝕刻

Country Status (6)

Country Link
US (2) US20110139748A1 (zh)
JP (2) JP5826746B2 (zh)
KR (1) KR101392838B1 (zh)
CN (1) CN102934208B (zh)
TW (1) TWI567819B (zh)
WO (1) WO2011081921A2 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI756234B (zh) * 2016-06-29 2022-03-01 美商應用材料股份有限公司 使用材料變性及rf脈衝的選擇性蝕刻

Families Citing this family (527)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9255329B2 (en) * 2000-12-06 2016-02-09 Novellus Systems, Inc. Modulated ion-induced atomic layer deposition (MII-ALD)
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
US8642974B2 (en) * 2009-12-30 2014-02-04 Fei Company Encapsulation of electrodes in solid media for use in conjunction with fluid high voltage isolation
JP5172928B2 (ja) * 2010-09-30 2013-03-27 株式会社東芝 基板処理方法および基板処理装置
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US8617411B2 (en) * 2011-07-20 2013-12-31 Lam Research Corporation Methods and apparatus for atomic layer etching
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8808561B2 (en) * 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
US8633115B2 (en) 2011-11-30 2014-01-21 Applied Materials, Inc. Methods for atomic layer etching
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9390893B2 (en) * 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP2013235912A (ja) * 2012-05-08 2013-11-21 Tokyo Electron Ltd 被処理基体をエッチングする方法、及びプラズマエッチング装置
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
FR3000600B1 (fr) * 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
CN106842001B (zh) * 2013-04-24 2019-09-24 泰科英赛科技有限公司 采用聚焦离子束的电路跟踪
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
FR3017241B1 (fr) * 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
JP6396699B2 (ja) * 2014-02-24 2018-09-26 東京エレクトロン株式会社 エッチング方法
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN104979175B (zh) * 2014-04-03 2018-12-18 中芯国际集成电路制造(上海)有限公司 栅极及晶体管的形成方法
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
JP6230954B2 (ja) * 2014-05-09 2017-11-15 東京エレクトロン株式会社 エッチング方法
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
JP6327970B2 (ja) * 2014-06-19 2018-05-23 東京エレクトロン株式会社 絶縁膜をエッチングする方法
KR101745686B1 (ko) * 2014-07-10 2017-06-12 도쿄엘렉트론가부시키가이샤 기판의 고정밀 에칭을 위한 방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
TWI735912B (zh) * 2014-08-22 2021-08-11 美商蘭姆研究公司 在一狀態期間中的次脈動用之電漿系統、電漿工具、射頻產生器、控制器、及方法
CN105448635B (zh) * 2014-08-28 2018-01-09 北京北方华创微电子装备有限公司 原子层刻蚀装置及采用其的原子层刻蚀方法
US9362131B2 (en) * 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9609730B2 (en) * 2014-11-12 2017-03-28 Lam Research Corporation Adjustment of VUV emission of a plasma via collisional resonant energy transfer to an energy absorber gas
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
WO2016094353A1 (en) 2014-12-08 2016-06-16 University Of Houston System Systems and methods for rapidly fabricating nanopatterns in a parallel fashion over large areas
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9425041B2 (en) * 2015-01-06 2016-08-23 Lam Research Corporation Isotropic atomic layer etch for silicon oxides using no activation
US9576811B2 (en) * 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9396956B1 (en) 2015-01-16 2016-07-19 Asm Ip Holding B.V. Method of plasma-enhanced atomic layer etching
JP6504827B2 (ja) * 2015-01-16 2019-04-24 東京エレクトロン株式会社 エッチング方法
WO2016123090A1 (en) 2015-01-26 2016-08-04 Tokyo Electron Limited Method and system for high precision etching of substrates
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
JP6356615B2 (ja) * 2015-02-06 2018-07-11 東芝メモリ株式会社 半導体製造装置および半導体製造方法
WO2016130795A1 (en) * 2015-02-12 2016-08-18 Massachusetts Institute Of Technology Methods and apparatus for variable selectivity atomic layer etching
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
WO2016160778A1 (en) * 2015-03-30 2016-10-06 Tokyo Electron Limited Method for atomic layer etching
JP6516542B2 (ja) * 2015-04-20 2019-05-22 東京エレクトロン株式会社 被エッチング層をエッチングする方法
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
SG10201604524PA (en) * 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
CN106328472B (zh) * 2015-07-02 2018-11-06 北京北方华创微电子装备有限公司 等离子体产生装置和半导体加工设备
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10128082B2 (en) 2015-07-24 2018-11-13 Varian Semiconductor Equipment Associates, Inc. Apparatus and techniques to treat substrates using directional plasma and point of use chemistry
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9706634B2 (en) * 2015-08-07 2017-07-11 Varian Semiconductor Equipment Associates, Inc Apparatus and techniques to treat substrates using directional plasma and reactive gas
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10096487B2 (en) * 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
GB201515622D0 (en) 2015-09-03 2015-10-21 Oxford Instr Nanotechnology Tools Ltd Cyclical plasma etching
US9984858B2 (en) * 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
JP6670692B2 (ja) * 2015-09-29 2020-03-25 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9991128B2 (en) * 2016-02-05 2018-06-05 Lam Research Corporation Atomic layer etching in continuous plasma
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
JP6601257B2 (ja) * 2016-02-19 2019-11-06 東京エレクトロン株式会社 基板処理方法
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10256108B2 (en) * 2016-03-01 2019-04-09 Lam Research Corporation Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
WO2017172536A1 (en) 2016-03-31 2017-10-05 Tokyo Electron Limited Controlling dry etch process characteristics using waferless dry clean optical emission spectroscopy
KR102586409B1 (ko) * 2016-04-12 2023-10-11 피코순 오와이 금속 휘스커를 억제하기 위한 ald에 의한 코팅
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR20170122910A (ko) * 2016-04-27 2017-11-07 성균관대학교산학협력단 원자층 식각방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN107464764B (zh) * 2016-06-06 2020-01-03 北京北方华创微电子装备有限公司 一种承载装置及预清洗腔室
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10453653B2 (en) * 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10141161B2 (en) 2016-09-12 2018-11-27 Varian Semiconductor Equipment Associates, Inc. Angle control for radicals and reactive neutral ion beams
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10730082B2 (en) * 2016-10-26 2020-08-04 Varian Semiconductor Equipment Associates, Inc. Apparatus and method for differential in situ cleaning
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR102520779B1 (ko) 2016-11-18 2023-04-11 도쿄엘렉트론가부시키가이샤 제조 공정에서 입자 유도 아크 검출을 위한 조성 발광 분광법
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
GB201620680D0 (en) * 2016-12-05 2017-01-18 Spts Technologies Ltd Method of smoothing a surface
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN110088882B (zh) 2016-12-14 2023-05-26 玛特森技术公司 与快速热活化工艺相结合的使用等离子体的原子层刻蚀工艺
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566212B2 (en) * 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
KR102700194B1 (ko) 2016-12-19 2024-08-28 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10692724B2 (en) * 2016-12-23 2020-06-23 Lam Research Corporation Atomic layer etching methods and apparatus
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
JP6820775B2 (ja) 2017-03-17 2021-01-27 株式会社日立ハイテク エッチング方法及びプラズマ処理装置
WO2018170010A1 (en) 2017-03-17 2018-09-20 Tokyo Electron Limited Surface modification control for etch metric enhancement
US20180277340A1 (en) * 2017-03-24 2018-09-27 Yang Yang Plasma reactor with electron beam of secondary electrons
US20180274100A1 (en) * 2017-03-24 2018-09-27 Applied Materials, Inc. Alternating between deposition and treatment of diamond-like carbon
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US12040200B2 (en) 2017-06-20 2024-07-16 Asm Ip Holding B.V. Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
WO2019004192A1 (ja) * 2017-06-27 2019-01-03 キヤノンアネルバ株式会社 プラズマ処理装置
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
CN107527958A (zh) * 2017-08-25 2017-12-29 苏州焜原光电有限公司 一种超晶格红外探测器表面钝化方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10763083B2 (en) * 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
JP6985097B2 (ja) * 2017-10-17 2021-12-22 岩谷産業株式会社 混合ガスおよびそれを用いた溶射皮膜の形成方法
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
JP7002921B2 (ja) * 2017-11-10 2022-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR102695659B1 (ko) 2018-01-19 2024-08-14 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3524204A1 (en) * 2018-02-07 2019-08-14 Albert-Ludwigs-Universität Freiburg Plasma jet device
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
JP7061922B2 (ja) * 2018-04-27 2022-05-02 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
TWI843623B (zh) 2018-05-08 2024-05-21 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TWI840362B (zh) 2018-06-04 2024-05-01 荷蘭商Asm Ip私人控股有限公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TW202409324A (zh) 2018-06-27 2024-03-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料之循環沉積製程
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR102686758B1 (ko) 2018-06-29 2024-07-18 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
EP3821457A4 (en) 2018-07-09 2022-04-13 Lam Research Corporation ETCHING ATOMIC LAYER ETCHING USING ELECTRON EXCITATION
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US20200058469A1 (en) * 2018-08-14 2020-02-20 Tokyo Electron Limited Systems and methods of control for plasma processing
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7369896B2 (ja) * 2018-08-30 2023-10-27 東京エレクトロン株式会社 プラズマ処理のための制御のシステム及び方法
KR20210042939A (ko) * 2018-09-05 2021-04-20 도쿄엘렉트론가부시키가이샤 전자빔 매개 플라즈마 에칭 및 증착 공정을 위한 장치 및 공정
TWI728456B (zh) 2018-09-11 2021-05-21 荷蘭商Asm Ip私人控股有限公司 相對於基板的薄膜沉積方法
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
JP7481823B2 (ja) * 2018-11-05 2024-05-13 東京エレクトロン株式会社 エッチング方法及びプラズマ処理装置
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
KR20210077789A (ko) * 2018-11-15 2021-06-25 램 리써치 코포레이션 할로겐 기반 화합물들을 사용하여 선택적으로 에칭하기 위한 원자 층 에칭 시스템들
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US12040199B2 (en) 2018-11-28 2024-07-16 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
DE102018221188A1 (de) 2018-12-07 2020-06-10 Carl Zeiss Smt Gmbh Verfahren zum in situ Schutz einer Aluminiumschicht und optische Anordnung für den VUV-Wellenlängenbereich
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
WO2020121540A1 (ja) 2019-02-04 2020-06-18 株式会社日立ハイテク プラズマ処理方法及びプラズマ処理装置
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TWI845607B (zh) 2019-02-20 2024-06-21 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TWI838458B (zh) 2019-02-20 2024-04-11 荷蘭商Asm Ip私人控股有限公司 用於3d nand應用中之插塞填充沉積之設備及方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TWI842826B (zh) 2019-02-22 2024-05-21 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200321186A1 (en) * 2019-04-02 2020-10-08 Applied Materials, Inc. Method and apparatus for angled etching
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
CN113906552A (zh) * 2019-04-29 2022-01-07 朗姆研究公司 用于减法式金属蚀刻的原子层蚀刻
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN118422165A (zh) 2019-08-05 2024-08-02 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
CN117293008A (zh) * 2019-08-05 2023-12-26 株式会社日立高新技术 等离子处理装置
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
JP7262375B2 (ja) * 2019-11-26 2023-04-21 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP7527928B2 (ja) 2019-12-02 2024-08-05 エーエスエム・アイピー・ホールディング・ベー・フェー 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021111783A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー チャネル付きリフトピン
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US20210210355A1 (en) * 2020-01-08 2021-07-08 Tokyo Electron Limited Methods of Plasma Processing Using a Pulsed Electron Beam
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11158516B2 (en) * 2020-02-07 2021-10-26 Tokyo Electron Limited Plasma processing methods using low frequency bias pulses
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
CN111370308B (zh) * 2020-02-18 2023-03-21 中国科学院微电子研究所 一种刻蚀方法及系统、刻蚀控制装置、电子器件及设备
JP7382848B2 (ja) * 2020-02-20 2023-11-17 東京エレクトロン株式会社 基板処理方法および基板処理装置
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210128343A (ko) 2020-04-15 2021-10-26 에이에스엠 아이피 홀딩 비.브이. 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
JP2021177545A (ja) 2020-05-04 2021-11-11 エーエスエム・アイピー・ホールディング・ベー・フェー 基板を処理するための基板処理システム
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
US11651970B2 (en) 2020-05-19 2023-05-16 Tokyo Electron Limited Systems and methods for selective ion mass segregation in pulsed plasma atomic layer etching
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
US20210374605A1 (en) * 2020-05-28 2021-12-02 Samsung Electronics Company, Ltd. System and Method for Federated Learning with Local Differential Privacy
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
WO2021252839A1 (en) * 2020-06-12 2021-12-16 Lam Research Corporation Pulsing remote plasma for ion damage reduction and etch uniformity improvement
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
US20210408235A1 (en) * 2020-06-25 2021-12-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with silicide gate fill structure
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202202649A (zh) 2020-07-08 2022-01-16 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11864472B2 (en) 2020-07-10 2024-01-02 California Institute Of Technology Methods and systems for atomic layer etching and atomic layer deposition
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US12040177B2 (en) 2020-08-18 2024-07-16 Asm Ip Holding B.V. Methods for forming a laminate film by cyclical plasma-enhanced deposition processes
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
TW202229601A (zh) 2020-08-27 2022-08-01 荷蘭商Asm Ip私人控股有限公司 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
CN114293174A (zh) 2020-10-07 2022-04-08 Asm Ip私人控股有限公司 气体供应单元和包括气体供应单元的衬底处理设备
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
KR20240009972A (ko) * 2021-05-19 2024-01-23 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 rf 시스템
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114078685B (zh) * 2021-11-17 2024-05-17 北京北方华创微电子装备有限公司 半导体工艺设备
US20230187214A1 (en) * 2021-12-14 2023-06-15 Tokyo Electron Limited Remote source pulsing with advanced pulse control
CN118414691A (zh) * 2022-01-04 2024-07-30 应用材料公司 电极调谐、沉积与蚀刻方法
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US20230374670A1 (en) * 2022-05-17 2023-11-23 Tokyo Electron Limited Etch process for oxide of alkaline earth metal
US11869747B1 (en) * 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6310577B1 (en) * 1999-08-24 2001-10-30 Bethel Material Research Plasma processing system with a new inductive antenna and hybrid coupling of electronagnetic power
US20040259380A1 (en) * 2003-03-26 2004-12-23 Seiichi Fukuda Plasma surface treatment system and plasma surface treatment method
WO2007100528A2 (en) * 2006-02-27 2007-09-07 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2792558B2 (ja) * 1987-12-07 1998-09-03 株式会社日立製作所 表面処理装置および表面処理方法
JPH03263827A (ja) * 1990-03-14 1991-11-25 Yasuhiro Horiike デジタルエツチング装置
JPH04279044A (ja) * 1991-01-09 1992-10-05 Sumitomo Metal Ind Ltd 試料保持装置
JP3217844B2 (ja) * 1992-03-27 2001-10-15 理化学研究所 エッチングダメージ防止方法
KR100276736B1 (ko) * 1993-10-20 2001-03-02 히가시 데쓰로 플라즈마 처리장치
JPH11224796A (ja) * 1998-02-05 1999-08-17 Matsushita Electron Corp プラズマ処理装置及びプラズマ処理方法
US6352049B1 (en) * 1998-02-09 2002-03-05 Applied Materials, Inc. Plasma assisted processing chamber with separate control of species density
US6367413B1 (en) * 1999-06-15 2002-04-09 Tokyo Electron Limited Apparatus for monitoring substrate biasing during plasma processing of a substrate
US6193855B1 (en) * 1999-10-19 2001-02-27 Applied Materials, Inc. Use of modulated inductive power and bias power to reduce overhang and improve bottom coverage
JP3437961B2 (ja) * 2000-05-26 2003-08-18 東京エレクトロン株式会社 基板のプラズマ処理中に基板のバイアスを監視するための改善された装置および方法
JP2001358129A (ja) * 2000-06-16 2001-12-26 Matsushita Electric Ind Co Ltd プラズマ処理方法及びプラズマ処理装置
JP2002289584A (ja) 2001-03-26 2002-10-04 Ebara Corp 表面処理方法
JP4239445B2 (ja) 2001-07-31 2009-03-18 パナソニック株式会社 プラズマ処理方法
JP2006049817A (ja) * 2004-07-07 2006-02-16 Showa Denko Kk プラズマ処理方法およびプラズマエッチング方法
US8012306B2 (en) * 2006-02-15 2011-09-06 Lam Research Corporation Plasma processing reactor with multiple capacitive and inductive power sources
US7416677B2 (en) * 2006-08-11 2008-08-26 Tokyo Electron Limited Exhaust assembly for plasma processing system and method

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6232236B1 (en) * 1999-08-03 2001-05-15 Applied Materials, Inc. Apparatus and method for controlling plasma uniformity in a semiconductor wafer processing system
US6310577B1 (en) * 1999-08-24 2001-10-30 Bethel Material Research Plasma processing system with a new inductive antenna and hybrid coupling of electronagnetic power
US20040259380A1 (en) * 2003-03-26 2004-12-23 Seiichi Fukuda Plasma surface treatment system and plasma surface treatment method
WO2007100528A2 (en) * 2006-02-27 2007-09-07 Lam Research Corporation Integrated capacitive and inductive power sources for a plasma etching chamber

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Ankur Agarwa and Mark J. Kushner,Plasma atomic layer etching using conventional plasma equipment,Journal of Vacuum Science & Technology A,American Vacuum Society,Volume 27, Issue 1,2008/12/08,pp. 37~50 *

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI756234B (zh) * 2016-06-29 2022-03-01 美商應用材料股份有限公司 使用材料變性及rf脈衝的選擇性蝕刻
US12057329B2 (en) 2016-06-29 2024-08-06 Applied Materials, Inc. Selective etch using material modification and RF pulsing

Also Published As

Publication number Publication date
US20110139748A1 (en) 2011-06-16
CN102934208A (zh) 2013-02-13
KR20120024544A (ko) 2012-03-14
WO2011081921A3 (en) 2013-01-03
US20180226227A1 (en) 2018-08-09
KR101392838B1 (ko) 2014-05-15
WO2011081921A2 (en) 2011-07-07
US10515782B2 (en) 2019-12-24
JP2014007432A (ja) 2014-01-16
JP2012529777A (ja) 2012-11-22
JP5826746B2 (ja) 2015-12-02
JP5938381B2 (ja) 2016-06-22
TW201140687A (en) 2011-11-16
CN102934208B (zh) 2017-02-08

Similar Documents

Publication Publication Date Title
TWI567819B (zh) 用脈衝電漿之原子層蝕刻
JP3386287B2 (ja) プラズマエッチング装置
US5376223A (en) Plasma etch process
Conway et al. Evolution of ion and electron energy distributions in pulsed helicon plasma discharges
Shin et al. Control of ion energy distributions using a pulsed plasma with synchronous bias on a boundary electrode
Qin et al. Tailored ion energy distributions at an rf-biased plasma electrode
Bodart et al. Analysis of pulsed high-density HBr and Cl2 plasmas: Impact of the pulsing parameters on the radical densities
TW201445629A (zh) 一種等離子體刻蝕工藝的處理裝置及方法
JPH09326383A (ja) プラズマ処理装置及びプラズマ処理方法
Jeon et al. Effect of pulse phase lag in the dual synchronized pulsed capacitive coupled plasma on the etch characteristics of SiO2 by using a C4F8/Ar/O2 gas mixture
TWI404103B (zh) 引燃低壓電漿的方法與設備
JP2000068227A (ja) 表面処理方法および装置
Takeuchi et al. Characteristics of very-high-frequency-excited SiH4 plasmas using a ladder-shaped electrode
Overzet et al. Negative ion extraction from pulsed discharges
Hikosaka et al. Realistic etch yield of fluorocarbon ions in SiO2 etch process
Landheer et al. Chemical sputtering by H2+ and H3+ ions during silicon deposition
JP2002170782A (ja) プラズマドーピング方法およびプラズマドーピング装置
Ichihashi et al. Effects of thermal annealing for restoration of UV irradiation damage during plasma etching processes
JP3368743B2 (ja) プラズマ処理装置及びプラズマ処理方法
Liu et al. Time-resolved radial uniformity of pulse-modulated inductively coupled O2/Ar plasmas
JPH10172793A (ja) プラズマ発生装置
Edamura et al. A novel plasma etching tool with rf-biased Faraday-shield technology: chamber surface reaction control in the etching of nonvolatile materials
Teii et al. Dual-electrode biasing for controlling ion-to-adatom flux ratio during ion-assisted deposition of diamond
Fukumasa et al. Relationship between control of reactive plasmas with magnetic filter and formation of thin films
Yasaka et al. Comparative study of silane radical composition in continuous and pulsed electron cyclotron resonance discharges