KR100896549B1 - 플라즈마 처리방법 및 플라즈마 식각방법 - Google Patents

플라즈마 처리방법 및 플라즈마 식각방법 Download PDF

Info

Publication number
KR100896549B1
KR100896549B1 KR1020077002884A KR20077002884A KR100896549B1 KR 100896549 B1 KR100896549 B1 KR 100896549B1 KR 1020077002884 A KR1020077002884 A KR 1020077002884A KR 20077002884 A KR20077002884 A KR 20077002884A KR 100896549 B1 KR100896549 B1 KR 100896549B1
Authority
KR
South Korea
Prior art keywords
plasma
gas
etching
substrate
pulse
Prior art date
Application number
KR1020077002884A
Other languages
English (en)
Other versions
KR20070033017A (ko
Inventor
야스유끼 호시노
세이지 사무까와
Original Assignee
쇼와 덴코 가부시키가이샤
도호쿠 다이가쿠
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 쇼와 덴코 가부시키가이샤, 도호쿠 다이가쿠 filed Critical 쇼와 덴코 가부시키가이샤
Publication of KR20070033017A publication Critical patent/KR20070033017A/ko
Application granted granted Critical
Publication of KR100896549B1 publication Critical patent/KR100896549B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Micromachines (AREA)

Abstract

본 발명은 지구환경 보존과 플라즈마 공정 성능의 정밀화를 구현하기 위해 온실효과를 갖지 않는 가스를 이용한 플라즈마 처리를 위한 공정을 개발하고 장치에 대한 손상을 억제할 수 있는 고정밀 플라즈마 식각 공정을 제공한다. 본 발명에 따른 플라즈마 처리 공정은 불소 가스(F2)를 함유한 처리 가스를 플라즈마 생성 챔버로 공급하는 단계와, 고주파 전기장의 인가 및 고주파 전기장의 인가 중단을 교대로 반복하여 플라즈마를 생성하는 단계와, 플라즈마를 기판에 조사하여 기판 처리를 수행하는 단계를 포함한다. 또한, 기판 처리는 플라즈마로부터 음이온 또는 양이온을 개별적으로 또는 교대로 추출하거나 음이온만을 선택적으로 추출하여 이들 이온을 중성화시킴으로써 중성빔을 생성하고 기판에 중성빔을 조사함으로써 수행될 수 있다.
플라즈마 식각 공정, 처리 가스, 고주파 전기장 인가, 플라즈마 생성 챔버, 중성빔

Description

플라즈마 처리방법 및 플라즈마 식각방법{PLASMA TREATMENT METHOD AND PLASMA ETCHING METHOD}
본 출원은 미국 특허법 35 U.S.C. §111(b)에 따라 2004년 7월 21일 출원된 가출원 제60/589,574호의 출원일에 대한 35 U.S.C. §119(e)에 따른 우선권을 주장하여 35 U.S.C. §111(a)에 의해 출원되었다.
본 발명은 고주파 전기장을 이용하여 생성되는 플라즈마를 이용하여 기판을 처리하기 위한 플라즈마 처리공정과, 반도체 소자와 마이크로 머신(MEMS: 마이크로 전자 기계 시스템) 소자의 생산시 미세 처리에 적절한 플라즈마 식각공정에 관한 것이다.
반도체 집적회로의 생산 공정 동안 건식 식각에 이용되는 플라즈마 공정에는 불화탄소계 또는 무기 불화물계 가스[예컨대, 사불화탄소(carbon tetrafluoride) 가스(CF4), 육불화황(sulfur hexafluoride)(SF6) 가스 등]가 대량으로 이용된다. 그러나, 불화탄소형 또는 무기 불화물형 가스는 지구온난화 지수(GWP)가 높고 이산화탄소와 더불어 지구온난화 초래 인자가 큰 온실효과 가스이다. 따라서, 온실효과 가스의 대기 방출량을 줄이기 위해, 불화탄소계나 무기 불화물계 가스가 아닌 다른 가스를 이용하기 위한 새로운 공정의 개발이 시급히 요구되고 있다.
반도체 집적회로의 생성 공정에 있어 최근에는 처리 패턴의 현저한 미세화로 인해 고정밀(고선택도, 고종횡비 및 고속) 건식 식각 기술에 대한 개발이 크게 요구되고 있다.
플라즈마 공정을 이용한 플라즈마 식각 기구는 일반적으로 다음의 세 부류, 즉 (1) 라디칼 식각과, (2) 반응성 이온 식각과, (3) 이온 보조 식각(이온 및 라디칼 모두를 이용한 식각)으로 구분된다.
식각 기구 (1)은 라디칼 반응이 순수 화학 반응이기 때문에 다양한 종류의 물질에 대해 뛰어난 식각 선택도를 갖는다는 장점이 있다. 그러나, 라디칼은 전기적으로 중성이기 때문에, 기판으로 진입될 라디칼은 확산에 의해 도달되고 기판에 대한 이동 방향이 무작위적으로 됨으로써 기판 표면 상에서의 식각 반응은 사방으로 진행한다. 따라서, 기판이 라디칼로 식각될 때 식각은 식각 마스크의 하부까지 진행된다. 즉, 식각 기구 (1)는 등방성 식각이 수행된다는 문제를 갖는다.
식각 기구 (2)에서, 이온은 전하를 갖는 입자이기 때문에, 이온은 외부에서 가해지는 전기장에 의해 기판으로 가속됨으로써 방향성을 갖게 된다. 가속화된 이온을 이용한 이런 식각 공정의 예로는 물리적 스퍼터링과 화학적 스퍼터링이 있을 수 있다.
물리적 스퍼터링은 기판 원자에 이온의 모멘텀을 부여하여 기판 원자의 결합을 절단하고 기상으로 방출시킴으로써 수행된다. 한편, 화학적 스퍼터링은 기판 표면으로 진입한 반응성 이온과 기판 원자가 입사 에너지에 의해 화학 반응을 일으 킴으로써 반응 생성물이 기상으로 방출되도록 하는 방식으로 수행된다.
반응성 이온을 이용한 식각 공정에서, 물리적 스퍼터링과 화학적 스퍼터링은 기판 표면에 동시에 발생되는 것으로 생각된다. 진입될 입사 이온은 기판에 거의 수직하기 때문에, 식각 형상은 식각 마스크에 거의 수직하게 형성되는 이방성 식각이 얻어질 수 있다. 그러나, 마스크 물질 또는 모재와의 식각 선택도는 라디칼을 이용한 식각과 비해 현저히 떨어진다.
식각 기구 (3)에서 이온 보조 반응은 식각될 기판의 표면에 흡수된 라디칼 상에 가속화된 이온을 조사함으로써 일어나기 때문에 이온 에너지에 크게 의존한다. 반응은 주로 이온이 조사되는 부분에서 발생하며, 이로써 식각 형태는 이방성 식각이다.
식각 기구 (1) 내지 (3)의 식각 공정은 플라즈마 공정을 이용한 플라즈마 식각에서 소정 비율로 동시에 발생하는 것으로 생각된다. 실제 식각 표면에는 상술한 식각 반응을 제외하고 반응 생성물의 증착이나 가스로 인한 중합(중합 반응)이 발생한다. 식각 반응시 이들 표면 반응 공정의 비율은 식각 동안의 작업 조건(가스 종류, 압력, 전력 등)에 의해 크게 변화되고, 이에 따라 식각 속도, 식각 형상 및 식각 선택도와 같은 식각 특성은 크게 변화된다. 반도체 장치의 고집적화가 진행됨에 따라, 이들 복잡한 식각 현상을 제어하고 식각 반응에 대한 이온의 기여도를 증가시킴으로써 미세 처리를 개선하는 것이 진지하게 요구되고 있다.
플라즈마 공정은 반도체를 위한 건식 식각 기술로서 필요 불가결한 것이지만, 플라즈마 공정으로 인한 장치 손상은 정련 처리 패턴에 따라 유도된다. 특히, 처리 패턴이 0.1㎛ 이하의 크기로 정련되게 하는 고도로 기술적인 공정에서, 플라즈마에서 생성되는 전하(전자, 이온), 광 콴텀(광장) 등을 이용한 조사에 의해 야기되는 손상은, 예컨대 게이트 절연막에서의 절연 파괴와 처리 형상의 기형화를 가져옴으로써 장치 특성에 심각한 영향을 준다. 반도체 장치의 성능 개선과 전력 소모 절감을 목적으로 고k막과 저k막으로 지칭되는 신물질이 활발히 연구되어 개발되었지만, 이들 물질은 오늘날 이용되는 실리콘 산화물(SiO2)막에 비해 물리적 화학적으로 불안정하여, 플라즈마 처리로 인한 손상이 보다 현저할 것으로 생각된다. 따라서, 고k막과 저k막의 실제 이용을 위한 조사를 촉진하기 위해 새로운 플라즈마 처리의 개발이 진지하게 요구되었다.
플라즈마 처리로 인해 야기되는 장치 손상을 방지하기 위해, 플라즈마 내의 입자(전자, 이온, 라디칼, 광자)를 제어하기 위한 다양한 기술이 개발되었다. 플라즈마 제어는, 예컨대 (1) 고주파 전기장 인가 및 인가 중단이 수십 μ초 차수로 교대로 반복됨으로써 처리 가스가 플라즈마화되게 하는 펄스-시간 변조형 플라즈마 생성 기술과, (2) 플라즈마 내의 양이온과 음이온이 균일한 방향성을 갖는 빔을 생성하기 위해 중성화되게 하는 중성빔 생성 기술에 의해 얻어질 수 있다.
JP-A-6-267900호 또는 JP-A-8-181125호에 도시된 바와 같은 펄스-시간 변조형 플라즈마 생성 기술에서는 고주파 전기장 인가 및 인가 중단이 수십 μ초 차수로 교대로 반복될 때, 인가 동안에는 양이온과 라디칼이 생성되는 반면, 인가 중단 동안에는 양이온과 라디칼을 보유한 상태로 음이온이 생성된다. 펄스-시간 변조형 플라즈마 생성 기술은 종래의 연속 방전 플라즈마에 의해서는 거의 생성되지 않는 음이온이 대량으로 생성될 수 있다는 특성을 갖는다.
중성빔 생성 기술에서는 플라즈마 내에 생성된 이온이 전압 인가에 의해 가속되어 많은 미세한 기공을 갖는 전극을 통과함으로써 중성화된다. 그 결과, 균일한 극성을 갖는 중성빔이 생성될 수 있다.
또한, 예컨대 JP-A-9-139369에 도시된 바와 같은 장치를 이용함으로써 펄스-시간 변조형 플라즈마에 생성된 대량의 음이온이 펄스-시간 변조형 플라즈마 생성 기술과 중성빔 생성 기술을 함께 이용하여 선택적으로 가속되어 중성화됨으로써 균일한 극성을 갖는 중성빔이 고밀도로 생성될 수 있다. 음이온의 중성화는 가스 원자와 분자에 밀착된 전자를 분리함으로써 진행되기 때문에, 전기 교환에 의한 양이온의 중성화에 비해 고효율 중성빔이 낮은 에너지로 생성될 수 있다.
보고된 바에 따르면, 이런 공정에 의해 생성되고 식각 반응에 필요한 중성 입자만으로 구성된 빔을 이용하여 식각이 수행될 경우, 플라즈마 내의 전기적 입자와 광자를 이용한 조사를 방지하고 플라즈마 처리에 의한 장치 손상을 제어하는 것이 가능하다.
플라즈마 처리 동안 플라즈마 내의 입자(전자, 이온, 라디칼, 광자)들을 완전히 제어하고 식각이 장치에 대한 손상을 억제하는 고정밀 플라즈마 식각을 실용적으로 이용하기 위해서 플라즈마 생성 장치와 중성빔 생성 장치를 개선하는 것 외에도 작업 조건(가스 종류, 압력, 전력 등)을 최적화하는 것이 중요한 문제이다. 플라즈마 생성에 이용되는 가스 종류는 다음과 같은 문제를 갖는다.
반도체 장치에서, 실리콘 산화물(SiO2)이 전극들 사이의 절연막으로 이용되기 때문에, 전극들과 소자 및 하부 전극 간의 접촉을 위한 콘택 홀을 반드시 형성해야만 한다. 이런 콘택 홀을 형성함에 있어 기판으로 이용되는 Si에 대한 선택도가 높은 것이 중요하기 때문에 종래에는 불화탄소 가스가 이용되었다.
이런 불화탄소 가스를 이용한 플라즈마는 기판 표면에 고분자 증착(중합)을 일으킨다. 따라서, 할로겐에 기초한 대부분의 플라즈마 처리시, Si 기판이 SiO2 기판보다 더 빠르게 식각되는 경향을 보임으로써 고분자 증착과 식각 반응 간의 경쟁이 SiO2 표면과 Si 표면 모두에 유도된다. 그러나, SiO2층에는 O(산소)가 존재하기 때문에, 산소는 SiO2 식각 동안 해리되고 증착 고분자에 결합됨으로써 예컨대 CO, CO2 또는 COF2 분자와 같은 휘발성 생성물을 형성하며, 증착된 고분자의 추가 중합은 SiO2층에서 억제된다. 한편, 산소가 없는 Si막은 그 표면 상에서 중합막 증착 억제 효과를 갖지 않기 때문에, 고분자 증착이 유도된다. 증착된 고분자가 Si 표면을 보호(마스크)하는 이런 효과에 의해, 기판에 대한 식각 선택도를 얻는 것이 가능하다.
그러나, 상술한 바와 같이 불화탄소 가스는 지구온난화 지수가 높다는 문제를 갖는다. 따라서, 이런 불화탄소 가스를 이용하지 않고 높은 선택도를 갖는 식각을 달성하는 것이 바람직하다. 이런 식각을 달성하기 위해서는 불화탄소 가스로 인해 유도되는 증착 고분자의 보호 효과를 이용하지 않는 신규한 공정을 개발할 필 요가 있다.
예컨대, 온실효과를 갖지 않는 가스를 이용하여 플라즈마를 생성되고 플라즈마 내의 이온 또는 중성빔의 에너지와 밀도를 고정밀 제어하여 기판에 조사할 경우, 반응 속도와 식각 선택도를 완전히 제어하는 것이 가능하게 되고 바람직한 콘택 홀을 형성할 수 있는 공정을 구현하는 것도 가능하고 생각된다. 이와 동시에, 플라즈마에서 생성된 전하(전자, 이온)와 광 콴텀(광자)로 인한 조사 손상은 억제되고 상술한 절연막의 절연 파괴 및 처리 형상의 기형화도 방지될 수 있다고 생각된다.
한편, 반도체 장치의 전극 등에 이용되는 실리콘(Si) 식각에서, 라디칼과의 반응은 F(불소)계 가스가 아닌 Cl(염소)계 가스 또는 Br(브롬)계 가스를 이용하여 억제됨으로써 이방성 식각이 얻어진다. F계 가스를 이용한 처리에서, Si 기판에 도달한 F 라디칼은 Si 격자의 내측으로 침투하여 약 여섯 원자의 두께를 갖는 흡수층을 형성한다. 이와 반대로, Cl계 또는 Br계 가스를 이용한 처리에서, Cl 라디칼 또는 Br 라디칼은 Si 격자 거리에 비해 크기 때문에, Cl 라디칼 또는 Br 라디칼은 Si 격자의 내측으로 거의 침투하지 않고 흡수층은 약 일 원자의 두께를 갖는다. 따라서, F 라디칼은 Cl 라디칼 또는 Br 라디칼에 비해 Si과의 반응성이 높다. 결국, 종래의 플라즈마 처리를 이용하여 Si 식각을 수행하는 경우에는 F계 가스가 이용될 경우 식각 속도가 높지만 이방성 식각이 얻어질 수 없다는 문제를 갖는다.
따라서, F계 가스를 이용한 고속 식각을 실현하고 이상성 식각을 달성하기 위해, 기판에 대해 무작위 운동 방향을 갖는 F 라디칼의 비율은 감소되며, 기판에 수직한 방향으로 진입하는 F 이온과 중성 F 빔을 고밀도로 생성하기 위한 신규한 공정을 개발하는 것도 필요하다. 또한, 이런 신규한 공정으로는 상술한 바와 같은 절연막(Si, 고k 등의 기판막인 SiO2)의 절연 파괴 및 처리 형상의 기형화도 방지될 수 있는 것으로 생각된다.
또한, 최근 실용화를 위해 빠르게 시험되는 마이크로 머신(MEMS: 마이크로 전자 기계 시스템) 장치의 생산 공정에서는, 미세 처리로서, 식각 처리가 Si 기판 상의 기계 구조물에 이용되는 100㎛ 이하 수십 ㎛의 깊이를 갖는 홈을 형성하기 위해 요구된다. 이런 공정에는 플라즈마 식각 기술도 적용되며 식각 특성에 대한 필요 조건은 주로 다음의 세 조항이다.
(1) 높은 식각 속도가 얻어질 수 있다.
(2) 식각 프로파일의 수직성이 얻어질 수 있다.
(3) 식각된 벽면은 뛰어난 평활도를 갖는다.
필수 조건 (1)과 (2)에 설명된 두 특성은 주로 교환 관계를 갖는다. 그 이유는 다음과 같다. 높은 식각 속도를 얻기 위해서는 일반적으로 플라즈마 내에 F 라디칼을 고농도로 생성하는 것이 필요하지만, 주로 라디칼을 이용한 식각에서는 식각 프로파일의 수직성이 얻어질 수 없다.
오늘날, 이런 문제를 해결하기 위해, 등방성 식각 공정과 측벽 보호막 형성 공정을 포함하는 일 주기가 반복되는 보쉬(Bosch) 공정이 널리 이용되고 있다.
보시 공정에서는, 우선 식각 처리 동안에는 SF6 가스 플라즈마에서 생성된 F 라디칼로 인해 Si 등방성 식각이 발생된다. 다음으로, 성막 처리 동안에는 불화탄소형 고분자막이 불화탄소계 가스(C4F8 등) 플라즈마에 의해 형성된다. 이런 형성 과정에서, 고분자막은 모든 표면에 증착된다(트렌치의 측벽부 및 바닥부). 다시 반복되는 후속 식각 처리에서는 이전 단계에 의해 형성된 고분자막 내의 트렌치의 바닥부만이 이온 전하를 수용함으로써 선택적으로 제거된다. 한편, 식각 처리 동안에는 트렌치의 측벽부에 증착된 고분자막이 이온 전하를 수용하지 않기 때문에, 고분자막은 식각되지 않고 남게 된다. 식각 처리시, 이온 전하는 고주파 전기장 등이 기판의 하부에 배치된 전극으로 인가됨으로써 플라즈마 내의 이온(대전 입자)들이 기판에 수직한 방향으로 가속된다는 이유에 기초해서 트렌치의 바닥부에만 유도된다. 이런 두 개의 처리(등방성 식각 처리 및 측벽 보호를 위한 성막 처리)로 이루어진 공정은 수초 내지 수십초의 기간 마다 반복됨으로써 소정 정도의 높은 식각 속도와 식각 프로파일의 수직성이 구현될 수 있다.
그러나, 식각은 성막 처리 동안에는 전혀 진행되지 않기 때문에, 보시 공정은 식각 속도가 제한적이고 소위 스캘럽(scallop)으로 불리는 계단형 구성이 측벽부에 형성되어 표면 요철이 형성된다는 두 가지 문제를 갖는다. Si 등방성 식각은 스캘럽의 융기를 일으킨다. 따라서, 식각 속도가 각각의 식각 시간을 연장하거나 플라즈마 내의 F 라디칼의 농도를 증가시킴으로써 증가되는 경우 스캘럽 융기는 더욱 증가된다.
따라서, 보시 공정에서, 측벽부의 평활도와 식각 속도는 거래 관계이다. 오 늘날, 이런 MEMS 등의 장치의 특성을 개선하기 위해, 스캘럽 융기를 가능한 작게 감소시키는 기술이 개발되었다.
한편, 보시 공정에서 수행되는 측벽 보호를 위한 특수막 형성 공정을 수행하지 않고 스캘럽 융기를 일으키지 않을 수 있는 다양한 고속 식각 공정이 제안되었다. 예컨대, JP-A-2002-93776호와 JP-A-2004-87738호는 O2 가스, C4F8 가스 또는 SiF4 가스와 혼합된 SF6의 플라즈마를 생성함으로써 기판 처리를 수행하기 위한 공정을 개시한다.
그러나, 이들 혼합 가스를 이용하는 공정에서는 높은 식각 속도와 식각 표면의 평활도는 유지되고 식각 형태의 수직성도 소정 정도는 개선될 수 있지만, 보시 공정에 의해 얻어질 수 있는 식각 프로파일을 갖는 높은 수직성을 얻는 것은 어렵다.
즉, 종래의 기술은 (1) 높은 식각 속도가 얻어질 수 있도록 하는 조항과, (2) 식각 프로파일의 수직성이 얻어질 수 있도록 하는 조항과, (3) 식각 벽면이 뛰어난 평활성을 갖도록 하는 조항을 포함하는 처리 기술을 위한 세 개의 필요조건을 동시에 만족시킬 수 없다.
또한, 상술한 바와 같이, SF6 가스, C4F8 가스 등과 같은 불화탄소계 가스는 지구온난화 지수가 높다는 문제를 갖는다. 따라서, 이들 온실효과 가스를 이용하지 않고 고성능 미세 처리를 구현할 수 있는 신규한 공정의 개발이 강하게 요구된다. 예컨대, 플라즈마가 온실효과를 갖지 않는 가스를 이용하여 생성될 수 있고 플라즈마 내의 이온과 중성빔의 에너지와 밀도를 고정밀 제어하여 기판에 조사될 수 있다면, (1) 높은 식각 속도가 얻어질 수 있도록 하는 조항과, (2) 식각 프로파일의 수직성이 얻어질 수 있도록 하는 조항과, (3) 식각 벽면이 뛰어난 평활도를 갖도록 하는 조항을 포함하는 처리 기술을 위한 세 가지 필요조건을 동시에 만족시키는 것이 가능하다.
본 발명의 목적은 지구 환경 보전과 플라즈마 공정 성능의 발전을 구현하기 위해 온실효과를 갖지 않는 가스를 이용한 플라즈마 처리 공정을 개발하고 장치에 대한 손상을 억제할 수 있는 고정밀 플라즈마 식각 공정을 제공하는 것이다.
본 발명자들은 이들 문제를 해결하기 위해 진지하게 연구했고 온실효과를 갖지 않는 가스를 이용한 고정밀 플라즈마 처리 공정을 최초로 개발하는데 성공했다.
본 발명은 다음 항목들에 관한 것이다.
(1) 플라즈마 생성 챔버 내로 불소 가스(F2)를 함유한 처리 가스를 공급하는 단계와, 고주파 전기장의 인가 및 고주파 전기장의 인가 중단을 교대로 반복하여 플라즈마를 생성하는 단계와, 기판에 플라즈마를 조사하여 기판 처리를 수행하는 단계를 포함하는 것을 특징으로 하는 플라즈마 처리 공정.
(2) 플라즈마 생성 챔버 내로 불소 가스(F2)를 함유한 처리 가스를 공급하는 단계와, 고주파 전기장의 인가 및 고주파 전기장의 인가 중단을 교대로 반복하여 플라즈마를 생성하는 단계와, 플라즈마로부터 음이온 또는 양이온을 개별적으로 또는 교대로 추출하여 이들 이온을 중성화시킴으로써 중성빔을 생성하는 단계와, 기판에 중성빔을 조사하여 기판 처리를 수행하는 단계를 포함하는 것을 특징으로 하는 플라즈마 처리 공정.
(3) 플라즈마 생성 챔버 내로 불소 가스(F2)를 함유한 처리 가스를 공급하는 단계와, 고주파 전기장의 인가 및 고주파 전기장의 인가 중단을 교대로 반복하여 플라즈마를 생성하는 단계와, 플라즈마로부터 음이온만을 선택적으로 추출하여 이들 이온을 중성화시킴으로써 중성빔을 생성하는 단계와, 기판에 중성빔을 조사하여 기판 처리를 수행하는 단계를 포함하는 것을 특징으로 하는 플라즈마 처리 공정.
(4) 제1항목 내지 제3항목 중 어느 한 항목에 있어서, 처리 가스는 100 체적%의 불소 가스(F2)인 것을 특징으로 하는 플라즈마 처리 공정.
(5) 제1항목 내지 제3항목 중 어느 한 항목에 있어서, 처리 가스는 불소 가스(F2)와 염소 가스(Cl2)의 혼합 가스인 것을 특징으로 하는 플라즈마 처리 공정.
(6) 제1항목 내지 제5항목 중 어느 한 항목에 있어서, 불소 가스(F2)는 고체 금속 불화물을 열분해함으로써 생성되는 불소 가스(F2)인 것을 특징으로 하는 플라즈마 처리 공정.
(7) 제1항목 내지 제6항목 중 어느 한 항목에 있어서, 플라즈마의 생성시 플라즈마 생성 챔버의 가스 압력은 0.1 내지 100 Pa인 것을 특징으로 하는 플라즈마 처리 공정.
(8) 제1항목 내지 제7항목 중 어느 한 항목에 있어서, 플라즈마의 생성시 고주파 전기장 인가 중단 시간은 20 내지 100μ초인 것을 특징으로 하는 플라즈마 처리 공정.
(9) 제1항목 내지 제8항목 중 어느 한 항목에 따른 플라즈마 처리 공정을 이용하는 것을 특징으로 하는 불소 첨가 처리 공정.
(10) 제1항목 내지 제8항목 중 어느 한 항목에 따른 플라즈마 처리 공정을 이용하는 것을 특징으로 하는 기판 플라즈마 식각 공정.
(11) 제10항목에 따른 플라즈마 식각 공정을 이용하는 것을 특징으로 하는 실리콘 또는 실리콘 화합물 플라즈마 식각 공정.
(12) 제11항목에 있어서, 실리콘 화합물은 실리콘 산화물, 실리콘 질화물 또는 규산염을 포함하는 것을 특징으로 하는 실리콘 또는 실리콘 화합물 플라즈마 식각 공정.
(13) 제1항목 내지 제12항목 중 어느 한 항목에 따른 공정에 의해 생산되는 반도체 장치.
(14) 제1항목 내지 제12항목 중 어느 한 항목에 따른 공정에 의해 생산되는 마이크로 기계(MEMS: 마이크로 전자 기계 시스템) 장치.
발명의 효과
본 발명에 따른 온실효과가 없는 가스를 이용한 플라즈마 처리 공정을 이용함으로써 반도체 장치의 생산시 미세 처리에 적절한 고정밀 플라즈마 식각 처리가 얻어질 수 있다. 특히, 플라즈마로부터 식각 반응에 필요한 중성빔만이 추출되어 기판에 조사됨으로써, 처리 패턴이 0.1㎛ 이하의 크기로 미세화된 반도체 장치의 생산을 위한 생산 공정이 구현될 수 있다. 또한, 생산 공정은 최근 개발된 MEMS 장치 등의 생산시 미세 처리 기술로서 효과적이다.
도 1은 본 발명에 따른 플라즈마 처리 공정과 플라즈마 식각 공정을 수행할 수 있는 펄스-시간 변조형 플라즈마 생성 장치의 일 실시예를 도시한 개략도(예 1)이다.
도 2는 본 발명에 따른 플라즈마 처리 공정과 플라즈마 식각 공정을 수행할 수 있는 펄스-시간 변조형 플라즈마 생성 장치의 일 실시예를 도시한 개략도(예 2)이다.
도 3은 본 발명에 따른 플라즈마 처리 공정과 플라즈마 식각 공정을 수행할 수 있는 중성빔 생성 장치의 일 실시예를 도시한 개략도이다.
도 4는 예 1과 비교예 1의 실험에 이용된 플라즈마 및 중성빔 분석 장치를 도시한 개략도이다.
도 5는 예 1에 따라 처리 가스로서 불소 가스(F2)를 이용하는 경우 연속 플라즈마(RF 바이어스 = 500W)와 펄스-시간 변조형 플라즈마[RF 바이어스 = 2㎾(온(ON) 시간 동안)]에서 음이온의 QMS 스펙트럼이다.
도 6은 예 1에 따라 처리 가스로서 불소 가스(F2)를 이용하는 경우 연속 플라즈마(RF 바이어스 = 1㎾)와 펄스-시간 변조형 플라즈마[RF 바이어스 = 1㎾ (온(ON) 시간 동안)]에서 음이온의 QMS 스펙트럼이다.
도 7은 예 1과 비교예 1에 따라 처리 가스로서 불소 가스(F2)와 육불화황 가스(SF6)를 이용하는 각 경우에 연속 플라즈마 내의 전자 밀도를 측정한 결과이다.
도 8은 예 1과 비교예 1에 따라 처리 가스로서 불소 가스(F2)와 육불화황 가스(SF6)를 이용하는 각 경우에 연속 플라즈마 내의 F 라디칼 양을 측정한 결과이다.
도 9는 예 2에 따라 처리 가스로서 불소 가스(F2)를 이용하여 생성된 펄스-시간 변조형 플라즈마에 의해 식각된 기판(알루미늄 패턴이 마련된 실리콘 표면)에 대한 SEM 영상이다.
도 10은 처리 가스로서 불소 가스(F2)를 이용하여 생성된 펄스-시간 변조형 플라즈마 내의 음이온과 예 1에 따른 플라즈마로부터 음이온을 선택적으로 추출함으로써 생성되는 중성빔에서 잔류하는 음이온의 QMS 스펙트럼이다.
도 11은 예 1과 비교예 1에 따라 처리 가스로서 불소 가스(F2)와 육불화황 가스(SF6)를 이용하는 각 경우에 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출함으로써 생성되는 중성빔의 총유속을 측정한 결과이다.
도 12는 예 3에 따라 처리 가스로서 불소 가스(F2)를 이용하여 생성된 펄스-시간 변조형 플라즈마로부터 빔 추출용 탄소 전극을 이용하여 음이온을 선택적으로 추출하는 경우 중성빔에 의해 식각된 기판(레지스트 패턴이 마련된 폴리실리콘 표 면)에 대한 SEM 영상이다.
도 13은 예 3에 따라 처리 가스로서 불소 가스(F2)를 이용하여 생성되는 펄스-시간 변조형 플라즈마로부터 산화알루미늄 분무면을 갖는 빔 추출용 탄소 전극을 이용하여 음이온을 선택적으로 추출하는 경우 중성빔에 의해 식각된 기판(레지스트 패턴이 마련된 폴리실리콘 표면)에 대한 SEM 영상이다.
도 14는 예 4에 따라 처리 가스로서 불소 가스(F2)를 이용하여 생성되는 펄스-시간 변조형 플라즈마로부터 빔을 선택적으로 추출함으로써 생성되는 중성빔에 의해 식각된 기판(50nm 선폭을 갖는 레지스트 패턴이 마련된 폴리실리콘 표면)에 대한 SEM 영상이다.
도 15는 예 1과 비교예 1에 따라 처리 가스로서 불소 가스(F2)와 육불화황 가스(SF6)를 이용하는 각 경우에 펄스-시간 변조형 플라즈마 내의 음이온의 QMS 스펙트럼이다.
도 16은 비교예 2에 따라 처리 가스로서 육불화황 가스(SF6)를 이용하여 생성되는 펄스-시간 변조형 플라즈마에 의해 식각된 기판(알루미늄 패턴이 마련된 실리콘 표면)에 대한 SEM 영상이다.
도 17은 비교예 3에 따라 처리 가스로서 육불화황 가스(SF6)를 이용하여 생성되는 펄스-시간 변조형 플라즈마로부터 빔 추출용 탄소 전극을 이용하여 음이온을 선택적으로 추출하는 경우 중성빔에 의해 식각된 기판(레지스트 패턴이 마련된 폴리실리콘 표면)에 대한 SEM 영상이다.
도 18은 비교예 3에 따라 처리 가스로서 육불화황 가스(SF6)를 이용하여 생성되는 펄스-시간 변조형 플라즈마로부터 산화알루미나 분무면을 갖는 빔 추출용 탄소 전극을 이용하여 음이온을 선택적으로 추출하는 경우 중성빔에 의해 식각된 기판(레지스트 패턴이 마련된 폴리실리콘 표면)에 대한 SEM 영상이다.
도 19는 비교예 4에 따라 처리 가스로서 육불화황 가스(SF6)를 이용하여 생성되는 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출함으로써 생성되는 중성빔에 의해 식각된 기판(50nm 선폭을 갖는 레지스트 패턴이 마련된 폴리실리콘 표면)에 대한 SEM 영상이다.
[도면 부호에 대한 설명]
1: 처리 가스
2: 석영제 플라즈마 생성 및 기판 처리 챔버
3: 유도결합 플라즈마 생성 안테나
4: 펄스-시간 변조가 가능한 플라즈마를 생성하기 위한 고주파 전원
5: 플라즈마
6: 이온 가속용 탄소 상부 전극
7: 전압 인가용 전원(상부 전극용)
8: 이온 가속용 탄소 하부 전극
9: 전압 인가용 전원(하부 전극용)
10: 기판 지지체(holding base)
11: 기판
12: 배기 가스
21: 처리 가스
22: 석영제 플라즈마 생성 챔버
23: 유도결합 플라즈마 생성 안테나
24: 펄스-시간 변조가 가능한 플라즈마 생성용 고주파 전원
25: 플라즈마
26: 이온 가속용 탄소 상부 전극
27: 전압 인가용 전원(상부 전극용)
28: 이온 가속용 탄소 하부 전극
29: 전압 인가용 전원(하부 전극용)
30: 스테인리스강제 기판 처리 챔버
31: 중성빔
32: 기판 지지체
33: 기판
34: 배기 가스
41: 처리 가스
42: 석영제 플라즈마 생성 챔버
43: 유도결합 플라즈마 생성 안테나
44: 펄스-시간 변조가 가능한 플라즈마를 생성하기 위한 고주파 전원
45: 플라즈마
46: 이온 가속용 탄소 상부 전극
47: 전압 인가용 전원(상부 전극용)
48: 이온 가속용 탄소 하부 전극(빔 추출용 전극)
49: 전압 인가용 전원(하부 전극용)
50: 스테인리스강 측정 챔버
51: 중성빔
52: 측정 장치
53: 배기 가스
61: 처리 가스
62: 플라즈마 생성 및 기판 처리 챔버
63: 유도결합 플라즈마 생성 안테나
64: 펄스-시간 변조가 가능한 플라즈마 생성용 고주파 전원
65: 플라즈마
68: 이온 가속용 탄소 하부 전극
69: 전압 인가용 전원
70: 기판 지지판
71: 기판
72: 배기 가스
이하, 본 발명에 따른 플라즈마 처리 공정과 플라즈마 처리 공정을 이용한 플라즈마 식각 공정을 상세히 설명한다.
도 1에는 본 발명에 따른 플라즈마 처리 공정과 플라즈마 식각 공정을 수행할 수 있는 펄스-시간 변조형 플라즈마 생성 장치의 일 예가 도시되어 있다. 이하, 도 1에 도시된 펄스-시간 변조형 플라즈마 생성 장치의 구조를 설명한다.
도 1에 도시된 펄스-시간 변조형 플라즈마 생성 장치에서, 석영으로 제조되고 처리 가스(1) 공급 포트가 마련된 플라즈마 생성 및 기판 처리 챔버(2)의 외주연 내측에는 유도결합 플라즈마 생성용 안테나(3)가 코일 형태로 권취되어 있고, 안테나(3)는 펄스-시간 변조가 가능한 플라즈마를 생성하기 위한 고주파 전원(4)과 연결된다.
처리 가스(1)가 공급된 플라즈마 생성 및 기판 처리 챔버(2)의 외측에서 고주파 전기장을 인가하면 플라즈마 생성 및 기판 처리 챔버(2) 내에는 플라즈마(5)가 생성된다. 고주파 전기장을 연속으로 인가하면 일반적인 플라즈마(이하, 연속 플라즈마)가 생성되고, 고주파 전기장의 인가 및 인가 중단을 교대로 반복하면 펄스-시간 변조형 플라즈마가 생성될 수 있다. 고주파 전기장의 인가 및 인가 중단은, 예컨대 펄스 상태에서 13.56㎒의 방전 주파수를 갖는 RF 바이어스를 고주파 전원(4)에서 안테나(3)로 인가함으로써 수행될 수 있다. 고주파 전기장의 인가 및 인가 중단의 교대 반복 시간(펄스폭)은 임의로 결정될 수 있다.
또한, 플라즈마 생성 및 기판 처리 챔버(2) 내측의 상하부 상에는 이온 가속 을 위한 탄소 상부 전극(6)과 이온 가속을 위한 탄소 하부 전극(8)이 마련되어 각각 (상부 전극을 위한) 전압 인가용 전원(7)과 (하부 전극을 위한) 전압 인가용 전원(9)에 연결된다.
상부 전극(6)에 인가된 전압과 하부 전극(8)에 인가된 전압 간의 전위차를 이용하면, 플라즈마(5)에 생성된 이온(양대전 입자 또는 음대전 입자)은 플라즈마 생성 및 기판 처리 챔버(2) 내의 기판 지지판(10) 상에 배치된 기판(11)에 거의 수직한 방향으로 가속되어 기판(10)에 거의 수직하게 조사된다. 상술한 기판 지지판(10)은 냉각 장치(미도시)에 의해 냉각될 수 있다.
플라즈마 생성 및 기판 처리 챔버(2)는 배기 펌프(미도시)에 의해 배기되고 배기 가스(12)는 배기 가스 처리 장치(미도시)에 의해 무독성 처리된 후 시스템 외부로 배출된다.
도 2에는 본 발명에 따른 플라즈마 처리 공정과 플라즈마 식각 공정을 수행할 수 있는 펄스-시간 변조형 플라즈마 생성 장치의 일 예가 도시되어 있다. 이하, 도 2에 도시된 펄스-시간 변조형 플라즈마 생성 장치의 구조를 설명한다.
도 2에 도시된 펄스-시간 변조형 플라즈마 생성 장치에서, 처리 가스(61) 공급 포트가 마련된 플라즈마 생성 및 기판 처리 챔버(62)의 상부면 상에는 유도결합 플라즈마 생성용 안테나(63)가 나선 상태로 권취되어 있고, 안테나(63)는 펄스-시간 변조가 가능한 플라즈마를 생성하기 위한 고주파 전원(64)과 연결된다.
처리 가스(61)가 공급된 플라즈마 생성 및 기판 처리 챔버(62)의 외측에서 고주파 전기장을 인가하면, 플라즈마(65)가 플라즈마 생성 및 기판 처리 챔버(62) 에 생성된다. 고주파 전기장을 연속으로 인가하면 연속 플라즈마가 생성되고, 고주파 전기장의 인가 및 인가 중단을 교대로 반복하면 펄스-시간 변조형 플라즈마가 생성될 수 있다. 고주파 전기장의 인가 및 인가 중단은 방전 주파수로서, 예컨대 펄스 상태에서 13.56㎒의 RF 바이어스를 고주파 전원(64)에서 안테나(63)로 인가함으로써 수행될 수 있다. 고주파 전기장의 인가 및 인가 중단의 교대 반복 시간(펄스폭)은 임의로 결정될 수 있다.
또한, 기판 지지판(70)의 하부에는 이온 가속을 위한 전극(68)이 마련되어 전압 인가용 전원(69)과 연결된다. 상기 기판 지지판(70)은 냉각 장치(미도시)에 의해 냉각될 수 있다. 또한, 기판(61)의 높이, 즉 기판과 플라즈마 생성부 사이의 거리는 승강 장치(미도시)에 의해 변경될 수 있다.
플라즈마 생성 및 기판 처리 챔버(62)의 내측은 배기 펌프(미도시)에 의해 배기되고 배기 가스(72)는 배기 가스 처리 장치(미도시)에 의해 무독성 처리된 후 시스템 외부로 배출된다.
본 발명의 제1 공정은, 예컨대 도 1 및 도 2에 도시된 바와 같은 펄스-시간 변조형 플라즈마 생성 장치를 이용하여, 불소 가스(F2)를 함유한 처리 가스를 플라즈마 생성 챔버로 공급하는 단계와, 고주파 전기장의 인가 및 고주파 전기장의 인가 중단을 교대로 반복하여 플라즈마를 생성하는 단계와, 기판에 플라즈마를 조사함으로써 기판 처리를 수행하는 단계를 포함하는 플라즈마 처리 공정이다. 본 발명자들은 불소 가스(F2)의 펄스-시간 변조형 플라즈마를 생성하는데 최초로 성공했 다.
상술한 공정에 의해 얻어진 불소 가스(F2)의 펄스-시간 변조형 플라즈마에서 생성된 음이온(F-)의 양은 연속 플라즈마에 비해 현저히 많다. 불소 가스(F2)의 펄스-시간 변조형 플라즈마에 생성된 음이온(F-)의 양은 종래로부터 연구되어 온 경우인 처리 가스로 육불화황 가스(SF6)를 이용하는 경우에 비해서도 현저히 많다.
플라즈마의 전자 밀도를 측정한 결과에 따르면 불소 가스(F2)의 연속 플라즈마의 전자 밀도는 육불화황 가스(SF6)의 연속 플라즈마의 전자 밀도에 비해 현저히 높다는 것이 확인된다. 플라즈마의 전자 밀도가 높다는 사실은 처리 가스의 이온화 효과가 높음을 보여주고, 이런 불소 가스(F2)의 특성이 펄스-시간 변조형 플라즈마에 대량의 음이온(F-)을 생성하는 원인인 것으로 생각된다. 즉, 펄스-시간 변조형 플라즈마에서 고주파 전기장의 온(ON) 시간 동안 생성된 고밀도 전자가 다음의 고주파장의 오프(OFF) 시간 동안 해리되어 불소 가스(F2)에 부착됨으로써 대량의 음이온(F-)을 생성하는 도식이 추정된다.
불소 가스(F2)의 펄스-시간 변조형 플라즈마에서, 미세 처리를 방해하는 라디칼로서 생성된 방향성을 갖지 않는 라디칼(F)의 양은 처리 가스로서 종래로부터 연구되어 온 육불화황 가스(SF6)를 이용하는 경우에 비해 현저히 낮다.
따라서, 처리 가스로서 불소 가스(F2)를 이용하는 펄스-시간 변조형 플라즈마에서, 대량 생성된 음이온(F-)은 외부로부터 인가되는 전기장에 의해 기판 쪽으로 방향성을 갖도록 가속되며, 방향성을 갖지 않고 생성된 라디칼(F)의 양은 낮기 때문에 바람직한 이방성 식각이 구현될 수 있다.
비록 불소 가스(F2)는 지구온난화 지수(GWP)가 0인 온실효과가 없는 가스이지만, 플라즈마 처리 기술 및 플라즈마 식각 처리 기술을 위한 불소 가스의 실용화는 지금까지 거의 연구되지 않았다. 그 이유로서 첫 번째는 불소 가스가 갖는 높은 반응성, 부식성, 유독성으로 인해 불소 가스(F2)의 조작이 어렵다는 것이고 두 번째는 일반적으로 알려진 것으로서 불소 가스(F2)로 인한 플라즈마가 종래의 공정에 의해 생성될 때 중요한 식각 기술인 이방성 식각이 구현될 수 없다는 것이다.
본 발명의 공정은 다음의 기술적 공정의 배경에 기초해서 처리 가스로 불소 가스(F2)를 함유한 가스를 이용하는 것을 특징으로 한다. 즉, 반도체 장치 등의 생산 공정에서, 뛰어난 내식성을 갖는 최근의 신물질 개발 및 가스 공급 설비의 신뢰성과 안전성의 진보를 수반하는 것으로 높은 반응성, 내식성 및 유독성을 갖는 불소 가스(F2)를 처리 가스로 이용하는 것이 가능했다. 이런 기술적 배경에 기초해서, 본 발명자들은 펄스-시간 변조형 플라즈마에 불소 가스(F2)를 최초로 적용했으며, 불소 가스(F2)의 펄스-시간 변조형 플라즈마가 생성 이온의 양이 많고 생성 라 디칼의 양이 작아지도록 만드는 특수 성질인 미세 가공성이 뛰어나다는 것을 최초로 발견했다. 그 결과, 본 발명자들은 불소 가스(F2)를 이용한 플라즈마로 고속 이방성 식각 공정을 실용화시킬 수 있었다.
다음으로, 도 3에는 본 발명에 따른 플라즈마 처리 공정과 플라즈마 식각 공정을 수행할 수 있는 중성빔 생성 장치의 일 예가 도시되어 있다. 이하, 도 3에 도시된 중성빔 생성 장치의 구조를 설명한다.
도 3에 도시된 중성빔 생성 장치에서, 석영으로 제조된 플라즈마 생성 챔버(22)는 도 1에 도시된 바와 같은 펄스-시간 변조형 플라즈마 생성 장치의 석영으로 제조된 플라즈마 생성 및 기판 처리 챔버(2)의 구조와 동일한 구조를 갖는다. 플라즈마 생성 챔버(22)에는 처리 가스(21)를 공급하기 위한 포트가 마련되며, 플라즈마 생성 챔버(22)의 외주연 내에는 유도결합 플라즈마 생성용 안테나(23)가 코일 형태로 권취되고 안테나(23)는 펄스-시간 변조가 가능한 플라즈마를 생성하기 위한 고주파 전원(24)과 연결된다. 플라즈마(25)(연속 플라즈마 및 펄스-시간 변조형 플라즈마)는, 예컨대 13.56㎒의 방전 주파수를 갖는 RF 바이어스를 고주파 전원(24)에서 안테나(23)로 인가함으로써 생성될 수 있다.
또한, 플라즈마 생성 챔버(22) 내측의 상하부 상에는 이온 가속을 위한 탄소 상부 전극(26)과 이온 가속을 위한 탄소 하부 전극(28)(빔 추출용 전극)이 마련되어 각각 (상부 전극을 위한) 전압 인가용 전원(27)과 (하부 전극을 위한) 전압 인가용 전원(29)에 연결된다.
상부 전극(26)에 인가된 전압과 하부 전극(28)에 인가된 전압 간의 전위차를 이용하면, 플라즈마(25)에 생성된 이온(양대전 입자 또는 음대전 입자)은 스테인리스강으로 제조된 기판 처리 챔버(30) 내의 기판 지지판(32) 상에 배치된 기판(33)에 거의 수직한 방향으로 가속되어 기판(33)에 거의 수직하게 조사된다.
플라즈마(25)로부터 가속되어 추출된 이온을 중성화시키기 위해, 복수의 미세 기공, 예컨대 직경이 1㎜이고 깊이가 10㎜인 기공들이 하부 전극(28)에 마련된다. 상부 전극(26)과 하부 전극(28)에 인가된 전압의 전위차에 의해 가속된 이온들은 하부 전극(28)의 미세 기공들을 통과하는 동안 전하 교환 또는 전자 분리에 의해 중성화됨으로써 중성빔(31)이 생성된다. 중성빔(31)은 기판(33)에 거의 수직하게 조사된다. 또한, 상술한 기판 지지체(32)는 냉각 장치(미도시)에 의해 냉각될 수 있고 기판(33)의 높이, 즉 기판과 플라즈마 생성부 사이의 거리는 승강 장치(미도시)에 의해 변경될 수 있다.
기판 처리 챔버(30)의 내측은 배기 펌프(미도시)에 의해 배기되고 배기 가스(34)는 배기 가스 처리 장치(미도시)에 의해 무독성 처리된 후 시스템 외부로 배출된다.
본 발명의 제2 공정은, 예컨대 도 3에 도시된 바와 같은 중성빔 생성 장치를 이용하여, 불소 가스(F2)를 함유한 처리 가스를 플라즈마 생성 챔버로 공급하는 단계와, 고주파 전기장의 인가 및 인가 중단을 교대로 반복하여 플라즈마를 생성하는 단계와, 플라즈마로부터 음이온 또는 양이온을 개별적으로 또는 교대로 추출하여 이들 이온을 중성화시킴으로써 중성빔을 생성하는 단계와, 기판에 중성빔을 조사하여 기판 처리를 수행하는 단계를 포함하는 플라즈마 처리 공정이다.
상술한 바와 같이, 본 발명자들은 불소 가스(F2)의 펄스-시간 변조형 플라즈마 생성에 최초로 성공했으며, 처리 가스로서 불소 가스(F2)를 이용한 펄스-시간 변조형 플라즈마에서 방향성을 부여할 수 있는 생성 음이온(F-)의 양이 현저히 많고 방향성을 갖지 않는 생성 라디칼(F)의 양이 현저히 작음을 발견했다.
그러나, 본 발명의 제1 공정에서 설명된 도 1에 도시된 바와 같은 펄스-시간 변조형 플라즈마 생성 장치는 플라즈마 생성 챔버 및 기판 처리 챔버가 하나로 합체되어 있기 때문에 이방성 식각이 구현될 수 있지만 플라즈마에 생성된 대전 입자와 광자를 기판에 조사함으로써 발생하는 손상이 방지될 수 없다는 문제를 문제를 갖는다.
한편, 본 발명의 제2 공정에서 설명된 도 3에 도시된 바와 같은 중성빔 플라즈마 생성 장치에서, 음이온 또는 양이온은 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 개별적으로 또는 교대로 추출되어 중성화됨으로써 식각 공정에 필요한 중성빔을 생성하고 중성빔은 기판에 조사된다. 따라서, 플라즈마에서 생성된 대전 입자와 광자를 기판에 조사함으로써 발생하는 손상이 억제된 이방성 식각이 구현될 수 있다.
불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온과 양이온을 교대 로 추출하기 위한 공정은 도 3에 도시된 바와 같은 하부 전극(28)에 교류를 인가하는 공정을 포함할 수 있다. 구체적으로, 도 3에서, 상부 전극(26)에는 -50V의 직류 전압이 인가되고 하부 전극(28)에는 100V의 교류 전압이 인가됨으로써, 불소 가스(F2)의 펄스-시간 변조형 플라즈마에서 음이온과 양이온이 교대로 가속되어 하부 전극(28)을 통과함으로써 중성빔(31)이 생성되는 결과를 가져온다.
본 발명의 제3 공정은, 예컨대 도 3에 도시된 바와 같은 중성빔 생성 장치를 이용하여, 불소 가스(F2)를 함유한 처리 가스를 플라즈마 생성 챔버로 공급하는 단계와, 고주파 전기장의 인가 및 인가 중단을 교대로 반복하여 플라즈마를 생성하는 단계와, 플라즈마로부터 음이온만을 선택적으로 추출하여 이들 이온을 중성화시킴으로써 중성빔을 생성하는 단계와, 기판에 중성빔을 조사하여 기판 처리를 수행하는 단계를 포함하는 플라즈마 처리 공정이다.
상술한 바와 같이, 음이온의 중성화는 전하 교환에 의한 양이온의 중성화에 비해 중성빔이 저에너지 고효율로 생성될 수 있도록 가스 원자와 분자에 부착된 전자를 분리함으로써 진행된다. 이런 방식으로 음이온만을 추출하여 음이온을 중성화하는 경우, 생성된 중성빔의 밀도는 낮아지는 반면, 중성빔의 중성화 비율은 증가된다. 따라서, 중성빔에 잔류하는 대전 입자는 양이온 및 음이온 모두를 추출하여 이들을 중성화시키는 것에 비해 감소된다. 그 결과, 플라즈마에 생성된 대전 입자와 광자를 기판으로 조사함으로써 야기된 손상이 보다 감소될 수 있는 이방성 식각이 구현될 수 있다.
불소 가스(F2)를 함유한 가스를 처리 가스로 이용하여 펄스-시간 변조형 플라즈마를 생성하기 위한 공정에서, 처리 가스에 함유된 불소 가스(F2)의 농도는 플라즈마 처리 공정 등과 같은 목적에 따라 임의로 결정될 수 있으며, 또한 고밀도 플라즈마와 중성빔을 보다 높은 효율로 얻기 위해서는 보다 높은 농도의 불소 가스(F2)를 이용하는 것이 바람직하며, 또한 100 체적%의 불소 가스(F2)를 이용하는 것이 특히 바람직하다.
바람직하게는, 처리 가스로서, 불소 가스(F2)와 마찬가지로 온실효과가 없는 염소 가스(Cl2)도 불소 가스(F2)와 혼합하기 위한 가스의 종류로 이용된다. 불소 가스(F2)와 염소 가스(Cl2)의 혼합 가스를 이용한 펄스-시간 변조형 플라즈마에서, 불소 가스(F2)와 염소 가스(Cl2)의 혼합비를 변경함으로써, F(F- 이온 및 중성 F빔)와 Cl(Cl- 이온 및 중성 Cl빔)의 혼합비도 용이하게 변경될 수 있으며 불소(F)와 염소(Cl) 사이의 화학적 특성차 또는 입자 크기차를 이용한 플라즈마 처리 또는 플라즈마 식각 처리가 수행될 수 있다.
예컨대, 게이트 전극 폴리실리콘의 식각시, 식각 반응은 고속 식각이 요구되는 초기 식각 단계에서 불소 가스(F2) 농도를 높임으로써 신속히 진행되며, 불소(F)와 염소(Cl) 간의 화학적 특성차를 이용한 공정 최적화는 고선택도를 갖는 식각이 요구되는 후속 식각 단계에서 염소 가스(Cl2)의 농도를 놓임으로써 수행될 수 있다. 불소(F) 입자는 염소(Cl) 입자에 비해 작기 때문에, 손상이 적은 식각 공정을 형성할 가능성이 있다. 처리 가스에서 불소 가스와 염소 가스의 혼합비는 플라즈마 처리 공정이나 플라즈마 식각 공정을 위한 대상에 따라 최적 비율로 결정되는 것이 바람직하다.
불소 가스(F2)의 공급원으로는, 예컨대 고압 충전된 불소 가스 실린더, 불화 수소의 전해 반응 또는 금속 불화물 등의 열분해 반응을 이용한 불소 가스 생성 장치 등과 같은 모든 가능한 공급 시스템을 선택하여 이용하는 것이 가능하다. 이들 시스템 중에서, 고체 금속 불화물의 열분해 반응을 이용하는 시스템은 안전성이 높고 고순도의 불소 가스(F2)가 공급될 수 있기 때문에 특히 바람직하다.
불소 가스(F2)를 함유한 처리 가스를 이용하여 플라즈마를 생성함에 있어, 플라즈마 처리 방법 등을 위한 대상에 따라 선택적으로 결정될 수 있는 플라즈마 생성 챔버 내의 가스 압력은 0.1 내지 100 Pa, 바람직하게는 0.3 내지 10 Pa, 특히 바람직하게는 0.5 내지 5 Pa이다. 가스 압력이 상술한 범위에서 결정될 때, 고밀도 플라즈마와 중성빔은 고효율로 마련될 수 있다. 플라즈마 생성 챔버 내의 가스 압력이 상술한 범위보다 낮은 경우에는 고밀도 플라즈마를 생성하기가 어려운 반면, 이 범위보다 높은 경우에는 플라즈마와 중성빔의 생성 효율은 낮아지는 경향이 있다.
처리 가스를 플라즈마로 만들기 위해 고주파 전기장의 인가[온(ON)] 및 인가 중단[오프(OFF)]을 교대로 반복함으로써 펄스-시간 변조형 플라즈마를 생성하기 위 한 공정에서, 온 시간과 오프 시간의 조합은 선택적으로 결정될 수 있으며, 온 시간과 오프 시간을 수십μ초 차수로 반복하는 공정을 이용하는 것이 일반적이다. 본 발명에 따라 불소 가스(F2)를 함유한 가스를 처리 가스로 이용하는 경우, 오프 시간은 20 내지 100μ초이고, 바람직하게는 40 내지 90μ초이고, 특히 바람직하게는 50 내지 70μ초이다. 오프 시간이 상술한 범위보다 짧은 경우에는 음이온의 생성이 불충분한 경향이 있고, 오프 시간이 상술한 범위보다 높은 경우에는 플라즈마의 전자 밀도가 낮아져서 후속 온 시간에서 방전이 어려워지는 효과나 전자 온도가 온 시간에 급속히 증가되는 효과를 일으킴으로써, 전자가 증가되고 펄스-시간 변조 효과가 억제되는 결과를 가져온다.
상술한 바와 같은 본 발명의 플라즈마 처리 공정은 바람직하게는 기판 표면에 정밀하게 불소 첨가(기판 표면에서의 불소 침투 깊이 또는 불소 농도 구배를 정밀하게 제어)하기 위한 불소 첨가 처리 공정과, 다양한 기판의 표면을 저손상 고정밀(이방성, 선택도, 고속도)로 미세 처리하기 위한 플라즈마 식각 공정과, 그 밖의 공정에 이용된다. 플라즈마 처리 공정은 또한 바람직하게는 실리콘과 실리콘 화합물에 대한 플라즈마 식각 기술로서 이용될 수 있는데, 이 기술은 반도체 장치 또는 MEMS 장치의 생산 단계에서 중요하다. 상술한 실리콘 화합물의 예로는 실리콘 산화물, 실리콘 질화물, (유리형 규산 나트륨 등과 같은) 규산염 등이 있을 수 있다.
특히, 종래에 연구되어 온 육불화황 가스(SF6)를 이용한 공정에 비해, 본 발명의 공정은 식각 반응을 위해 바람직한 플라즈마와 중성빔이 생성될 수 있기 때문 에 장래의 플라즈마 식각 기술에 적용 가능한 불소(F- 이온 및 중성 F빔) 공급원으로서 아주 바람직하다.
따라서, 본 발명은 우선 본 발명의 공정을 이용하여 염소(Cl- 이온 및 중성 Cl빔) 공급원으로 이용되는 염소 가스(Cl2)의 혼합 펄스-시간 변조형 플라즈마에 의해 불소(F)와 염소(Cl) 간의 화학적 특성과 입자 크기의 차이를 이용하여 최적의 공정을 형성할 수 있도록 한다.
예컨대, 식각될 기판과 각각의 식각 공정에서의 식각 대상에 따라, 식각 속도 또는 선택도를 개선하기 위해 중성 F빔과 중성 Cl빔이 적절히 이용되거나 혼합된다. 따라서, 본 발명의 공정은 장래의 신공정에 이용될 신물질(귀금속을 함유한 Hf계 고k 및 모든 금속 화합물)을 식각하는 공정에 충분히 적용 가능하다.
따라서, 본 발명의 미세 처리 기술을 이용함으로써, 지금까지 생산되지 않은 뛰어난 특성을 갖는 반도체 장치 또는 새로운 MEMS가 생산될 수 있다.
또한, 온실효과가 없는 저렴한 불소 가스(F2)를 처리 가스로 이용하기 때문에, 본 발명의 공정은 친환경적이고 아주 실용적이어서 공정의 기술적 가치는 아주 높다.
이하, 아래의 예를 참조하여 본 발명을 보다 상세히 설명하기로 하며, 다만 본 발명은 이들 예에 의해 제한되지 않는다.
예 1
도 4에 도시된 바와 같은 플라즈마 및 중성빔 분석 장치를 이용하여, 연속 플라즈마, 펄스-시간 변조형 플라즈마 및 중성빔이 불소 가스(F2)로부터 생성되었고, 생성된 연속 플라즈마, 펄스-시간 변조형 플라즈마 및 중성빔은 QMS(사중극자 질량분석기), 마이크로파 간섭계, 발광분석기, 패러데이 컵 및 열량계를 이용하여 분석되었다.
우선, 도 4에 도시된 바와 같은 플라즈마 및 중성빔 분석장치의 구조를 설명한다. 도 4에 도시된 도 4에 도시된 바와 같은 플라즈마 및 중성빔 분석장치에서, 석영으로 제조된 플라즈마 생성 챔버(42)는 도 1에 도시된 바와 같은 펄스-시간 변조형 플라즈마 생성 장치의 석영으로 제조된 플라즈마 생성 및 기판 처리 챔버(2)과 동일한 구조를 갖는다. 플라즈마 생성 챔버(42)에는 처리 가스(41)를 공급하기 위한 포트가 마련되며, 플라즈마 생성 챔버의 외주연에는 유도결합 플라즈마 생성용 안테나(43)가 코일 형태로 권취되고 안테나(43)는 펄스-시간 변조가 가능한 플라즈마를 생성하기 위한 고주파 전원(44)과 연결된다.
플라즈마(45)(연속 플라즈마 및 펄스-시간 변조형 플라즈마)는, 예컨대 13.56㎒의 방전 주파수를 갖는 RF 바이어스를 고주파 전원(44)에서 안테나(43)로 인가함으로써 생성될 수 있다.
또한, 플라즈마 생성 챔버(42) 내측 상하부에는 이온 가속을 위한 탄소 상부 전극(46)과 이온 가속을 위한 탄소 하부 전극(48)(빔 추출용 전극)이 마련되어 각각 (상부 전극을 위한) 전압 인가용 전원(47)과 (하부 전극을 위한) 전압 인가용 전원(49)에 연결된다.
상부 전극(46)의 전압과 하부 전극(48)의 전압 간의 전위차를 이용함으로써, 플라즈마(45)에 생성된 이온(양대전 입자 또는 음대전 입자)은 스테인레스강으로 제조된 측정 챔버(50)에 배치된 측정 장치(52)에 거의 수직한 방향으로 가속되고 측정 장치(52)에 거의 수직하게 조사된다.
플라즈마(45)에서 가속되어 추출된 이온을 중성화하기 위해, 복수의 기공(직경이 1㎜이고 깊이가 10㎜인 기공)이 하부 전극(48) 상의 전극 면적의 50%에 해당하는 면적에 마련된다. 상부 전극(46)에 인가된 전압과 하부 전극(48)에 인가된 전압의 전위차에 의해 가속된 이온은 하부 전극(48)의 미세 기공을 통과하는 동안 전하 교환, 전자 분리 등에 의해 중성화됨으로써 중성빔(51)을 생성한다. 중성빔(51)은 측정 장치(52)에 거의 수직한 방향으로 조사된다.
스테인레스강 측정 챔버(50)는 터보 분자 배기 펌프(미도시)에 의해 배기되고, 배기 가스(53)는 배기 가스 처리 장치(미도시)에 의해 무독성 처리된 후 시스템 외부로 배기된다.
<실험예 1>
도 4에 도시된 바와 같은 플라즈마 및 중성빔 분석 장치의 플라즈마 생성 챔버(42) 내로 처리 가스(41)로서 100 체적%의 불소 가스(F2)를 30㎖/min으로 도입했 고 13.56㎒(500W 또는 1kW)의 방전 주파수를 갖는 RF 바이어스를 고주파 전원(44)에서 안테나(43)로 연속 인가하여 연속 플라즈마를 생성했다. 이때, 상부 전극(46)과 하부 전극(48)은 전압이 인가되지 않고 서로 연결된 상태에 있었다. 플라즈마 생성 챔버(42)의 압력은 플라즈마 생성시 1Pa였다. 불소 가스(F2)로는 불화 금속인 K3NiF7으로 충전된 용기를 350℃에서 가열함으로써 K3NiF7 열분해 반응에 의해 생성된 불소 가스(F2)가 사용된다.
측정 챔버(50)에는 QMS의 가스 도입구가 하부 전극(48)의 하부에서 약 200 mm 떨어진 위치에 배치되도록 QMS(측정 장치, 52)를 마련하여 플라즈마(45)의 측정을 수행했다. 상부 전극(46)과 하부 전극(48)이 상술한 바와 같이 전압 인가없이 서로 연결된 상태에 있는 경우, 플라즈마 생성 챔버(42)에 생성된 플라즈마(45)는 플라즈마 조성을 유지하고 거의 중성화되지 않으면서 하부 전극(48)을 통과한 후, QMS 내로 유동했다. 측정 챔버(50)는 터보 분자 배기 펌프에 의해 신속히 배기되었고 배기 가스(53)는 배기 가스 처리 장치에 의해 무독성 처리되어 시스템 외측으로 배기되었다.
상술한 공정을 통해 불소 가스(F2)의 연속 플라즈마에 함유된 음이온을 QMS 측정으로 분석했다. 도 5에는 RF 바이어스가 500W인 분석결과가 도시되어 있고 도 6에는 RF 바이어스가 1kW인 분석결과가 도시되어 있다.
<실험예 2>
도 4에 도시된 바와 같은 플라즈마 및 중성빔 분석 장치의 플라즈마 생성 챔 버(42) 내로 처리 가스(41)로서 100 체적%의 불소 가스(F2)가 30㎖/min으로 도입되고 13.56㎒(온 시간 동안 2kW 또는 온 시간 동안 500W)의 방전 주파수를 갖는 RF 바이어스가 고주파 전원(44)에서 안테나(43)로 펄스형 상태로 인가되어 펄스-시간 변조형 플라즈마를 생성했다는 점을 제외하고 예 1의 실험예 1의 과정을 반복했으며, 펄스-시간 변조형 플라즈마를 생성하기 위해 펄스-시간 변조시 온 시간/오프 시간은 50μ초/50μ초였고 안테나(43)에 인가된 전압은 펄스 상태로 변조되었다.
상술한 공정을 통해 불소 가스(F2)의 연속 플라즈마에 함유된 음이온을 QMS 측정으로 분석했다. 도 5에는 (온 시간 동안) RF 바이어스가 2kW인 분석결과가 도시되어 있고 도 6에는 (온 시간 동안) RF 바이어스가 1kW인 분석결과가 도시되어 있다.
도 5 및 도 6에서 명백한 바와 같이, 불소 가스(F2)의 연속 플라즈마에서 생성된 F- 이온의 양은 작은 반면 불소 가스(F2)의 펄스-시간 변조형 플라즈마에서 생성된 F- 이온의 양은 현저히 증가되었다.
<실험예 3>
도 4에 도시된 바와 같은 플라즈마 및 중성빔 분석 장치의 플라즈마 생성 챔버(42) 내로 처리 가스(41)로서 100 체적%의 불소 가스(F2)를 30㎖/min으로 도입했고 13.56㎒의 방전 주파수를 갖는 RF 바이어스를 고주파 전원(44)에서 안테나(43)로 연속 인가하여 연속 플라즈마를 생성했다. 이때, 상부 전극(46)과 하부 전 극(48)은 전압이 인가되지 않고 서로 연결된 상태에 있었다. 플라즈마 생성시 플라즈마 생성 챔버(42) 내부의 압력은 1Pa였다. 불소 가스(F2)로는 불화 금속인 K3NiF7으로 충전된 용기를 350℃에서 가열함으로써 K3NiF7 열분해 반응에 의해 생성된 불소 가스(F2)가 사용된다.
마이크로파 간섭계(미도시)를 플라즈마 생성 챔버(42) 외측에 설치하여 불소 가스(F2)의 연속 플라즈마의 전자 밀도를 측정했다. 안테나(43)에 인가하기 위한 고주파 전기장의 출력은 플라즈마를 생성하도록 변경되었으며, 불소 가스(F2)의 연속 플라즈마의 전자 밀도와 관련한 RF 출력 의존도를 측정했다. 분석 결과는 도 7에 도시되어 있다.
도 7은 비교를 위해 후술하는 비교예 1의 실험예 2의 육불화황 가스(SF6)의 결과도 도시한다. 도 7로부터 명백한 바와 같이, 불소 가스(F2)의 연속 플라즈마에서 전자 밀도는 육불화황 가스(SF6)에 비해 높았으며 RF 출력이 약 400W인 경우에도 전자 밀도는 1.0×1011/㎤ 이상이었음을 발견했다. 또한, 전자 밀도는 RF 출력의 증가에 따라 단조 증가하는 것도 발견했다. 연속 플라즈마의 전자 밀도가 높다는 사실은 온 시간 동안 펄스-시간 변조형 플라즈마에서 고주파 전기장의 전자 밀도가 높음을 보여준다.
따라서, 상술한 바와 같이, 펄스-시간 변조형 플라즈마에서 온 시간 동안 생 성된 고밀도 전자는 후속 고주파 전기장의 오프 시간 동안 해리되어 불소 가스(F2)에 부착됨으로써 대량의 음이온(F-)을 생성한다.
<실험예 4>
도 4에 도시된 바와 같은 플라즈마 및 중성빔 분석 장치의 플라즈마 생성 챔버(42) 내로 처리 가스(41)로서 불소 가스(F2)와 아르곤 가스를 각각 30㎖/min과 1.5㎖/min으로 도입했고 13.56㎒의 방전 주파수를 갖는 RF 바이어를 고주파 전원(44)에서 안테나(43)로 연속 인가하여 연속 플라즈마를 생성했다. 이때, 상부 전극(46)과 하부 전극(48)은 전압이 인가되지 않고 서로 연결된 상태에 있었다. 플라즈마 생성시 플라즈마 생성 챔버(42) 내부의 압력은 1Pa였다. 불소 가스(F2)로는 불화 금속인 K3NiF7으로 충전된 용기를 350℃에서 가열함으로써 K3NiF7 열분해 반응에 의해 생성된 불소 가스(F2)가 사용된다.
분광 분석기(미도시)를 플라즈마 생성 챔버(42) 외측에 설치하여 불소 가스(F2)의 연속 플라즈마의 분광 스펙트럼을 측정했다. 안테나(43)에 인가하기 위한 고주파 전기장의 출력은 플라즈마를 생성하도록 변경되었으며, 불소 가스(F2)의 연속 플라즈마의 분광 스펙트럼과 관련한 RF 출력 의존도를 측정했다. 각각의 플라즈마 조건에서 얻어진 분광 스펙트럼으로부터, 불소 라디칼(F)의 분광 피크(703.7nm)에 대한 아르곤 라디칼(Ar)의 분광 피크(750.4nm)의 강도 비 율[IF(703.7nm)/IAr(750.4nm)]을 결정했다. IF(703.7nm)/IAr(750.4nm)의 상호 비교에 의해 불소 라디칼(F)의 양에 대한 상대 비교를 수행하는 것이 가능하다. 상술한 방법은 일반적으로 분광 광량 측정으로 불리는 분석 절차이다. 분석 결과는 도 8에 도시되어 있다.
도 8은 비교를 위해 후술하는 비교예 1의 실험예 3의 육불화황 가스(SF6)의 결과도 도시한다. 도 8로부터 명백한 바와 같이, 불소 가스(F2)의 연속 플라즈마에서 IF(703.7nm)/IAr(750.4nm)의 값은 육불화황 가스(SF6)에 비해 아주 낮았으며, RF 출력을 300W에서 1000W로 증가시키는 경우에도, IF(703.7nm)/IAr(750.4nm)의 값은 거의 확정값을 보였음이 발견되었다. 즉, 불소 가스(F2)의 연속 플라즈마에서, 불소(F) 라디칼의 양은 측정이 수행된 RF 출력 영역에서 아주 작았다.
<실험예 5>
도 4에 도시된 바와 같은 플라즈마 및 중성빔 분석 장치의 플라즈마 생성 챔버에서 -100V의 직류 전압을 상부 전극(46)에 인가했고 -50V의 직류 전압을 하부 전극(48)에 인가했다는 점을 제외하고 예 1의 실험예 2의 과정을 반복함으로써 불소 가스(F2)의 펄스-시간 변조형 플라즈마를 생성했다.
상부 전극(46)과 하부 전극(48) 상에는 이런 전위차가 마련됨으로써 펄스-시간 변조형 플라즈마 내측에 생성된 대량의 음이온(F-)은 하부 전극(48)에 거의 수직한 방향으로 가속되었고 하부 전극(48)의 미세 기공을 통과하는 단계에서 부착 전 자의 분리에 의해 중성화되었다. 그 결과, 중성빔(51)이 생성되어 측정 챔버(50)에 마련된 QMS[측정 장치(52)] 내로 유동되었다.
상술한 방법에 의해, 음이온은 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 선택적으로 추출되고 중성화되어 중성빔을 생성했다. 생성된 중성빔에 남아 있는 음이온, 즉 비중성화 음이온은 QMS 측정에 의해 분석되었다. 도 10에는 그 결과가 도시되어 있다. 또한, 도 10에는 비교를 위해 예 1의 실험예 2의 불소 가스(F2)의 펄스-시간 변조형 플라즈마에 대한 음이온 분석 결과가 도시되어 있다.
도 10에서 명백한 바와 같이, 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하고 이들 음이온을 중성화함으로써 생성되는 중성빔에는 비중성화 음이온(F-)이 거의 함유되지 않았다. 즉, 이들 결과는 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하고 이들을 중성화시킴으로써 생성되는 중성빔의 중성화 비율이 아주 높다는 사실, 즉 중성화가 높은 효율을 가짐을 보여준다.
<실험예 6>
도 4에 도시된 바와 같은 측정 챔버(50)에 설치된 측정 장치(52)로서, 패러데이 컵[측정 장치(52)]을 이용했고 패더데이 컵의 가스 도입구가 하부 전극(48)의 하부로부터 약 20㎜ 떨어진 위치에 배치되도록 설정했다는 점을 제외하고 예 1의 실험예 5의 과정을 반복했으며, 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들을 중성화시킴으로써 중성빔을 생성했다. 생성된 중성빔에 남아 있는 음이온, 즉 비중성화 음이온에 대하여는 패러데이 컵을 이용하여 전류 밀도를 측정했다. 그 결과, 중성빔에 남아 있는 음이온의 전류 밀도는 검출 가능한 하한값(0.4㎂/㎠)보다 낮았으며 아주 낮음을 확인했다.
QMS와 패러데이 컵을 이용한 측정 결과, 불소 가스(F2)의 펄스-시간 변조형 플라즈마에는 대량의 F- 이온이 생성되고 플라즈마에 대량 생성된 F- 이온은 고효율로 중성화되어 거의 100%에 가까운 중성화 속도가 구현됨이 자명했다.
<실험예 7>
도 4에 도시된 바와 같은 측정 챔버(50)에 배치된 측정 장치(52)로서, 열량계를 배치했다는 점을 제외하고 예 1의 실험예 5의 과정을 반복했으며, 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들을 중성화시킴으로써 중성빔을 생성했다. 최종 중성빔의 유속은 열량계에 의해 측정되었다. 열량계 내로 유동된 빔의 운동 에너지는 열량계의 출력 전압의 변화(△V/15)가 중성빔의 유속으로 취해지도록 열량계 내에서 거의 완전히 열교환되는 것으로 생각된다. 도 11에는 그 결과가 도시되어 있다.
도 11에는 비교를 위해 후술하는 비교예 1의 실험예 4의 육불화황 가스(SF6)에 대한 결과도 도시되어 있다. 도 11에서 명백한 바와 같이, 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하고 이들 음이온을 중성 화함으로써 생성되는 중성빔의 유속은 육불화황 가스(SF6)의 유속보다 다섯 배 이상 크다는 것을 발견했다.
예 2
기판에 대한 플라즈마 식각은 불소 가스(F2)의 펄스-시간 변조형 플라즈마에 의해 수행되었고 실리콘(Si)의 식각 속도가 측정되었고 식각 형태가 관찰되었다.
<실험예 1>
도 2에 도시된 바와 같은 펄스-시간 변조형 플라즈마 생성 장치를 이용하여, 처리 가스(61)로서 예 1에서 이용된 것과 동일한 100 체적%의 불소 가스(F2)를 30㎖/min으로 플라즈마 생성 및 기판 처리 챔버(62) 내로 도입했고 13.56㎒의 방전 주파수를 갖는 RF 바이어스(1kW)를 고주파 전원(64)에서 안테나(63)로 인가하여 연속 플라즈마(65)를 생성했다.
이온 가속을 위한 탄소 전극(68)에는 1㎒의 RF 바이어스가 전압 인가용 전원(69)으로부터 50W의 출력으로 인가되었다. 이런 방식으로 이온 가속을 위한 탄소 전극(68)에 RF 바이어스를 인가함으로써, 플라즈마(65)에 생성된 양이온과 음이온은 기판 지지판(70)에 거의 수직한 방향으로 가속되어 기판에 조사되었다.
기판 지지체(70)는 플라즈마 생성부의 하부에서 50mm 떨어진 위치에 배치되었고 -20℃로 냉각되었다. 기판 지지체(70) 상에는 식각 마스크로서 알루미늄 박막이 표면 증착된 실리콘(Si) 기판이 배치되어 플라즈마(65)에 의해 플라즈마 식각 되었다. 식각 속도는 다음 방식으로 결정했다. 식각 처리는 식각 처리 시간만을 변경함으로써 여러 번 수행했고, 뒤이어 단차 측정 장치를 이용하여 식각 깊이를 측정했다. 실험예에서 얻어진 식각 속도는 표 1에 도시되어 있다.
<실험예 2>
13.56㎒(온 시간 동안)의 방전 주파수를 갖는 1kW의 RF 바이어스를 고주파 전원(64)에서 안테나(63)로 펄스 상태로 인가하여 펄스-시간 변조형 플라즈마(65)를 생성했다는 점을 제외하고 예 2의 실험예 1의 과정을 반복했으며, 이로써 기판에 대한 플라즈마 식각을 수행했다. 이 과정에서, 펄스-시간 변조시 온 시간/오프 시간은 50μ초/50μ초였다. 표 1에는 실험에서 얻어진 식각 속도가 도시되어 있다. 도 9는 SEM(주사 전자 현미경) 관찰에 의해 얻어진 식각 형태의 형상을 도시한다.
Si 식각 속도(nm/min)
실험예 1 연속 플라즈마 840
실험예 2 펄스-시간 변조형 플라즈마 1220
표 1에서 명백한 바와 같이, 비록 실질적인 플라즈마 전력이 불소 가스(F2)의 연속 플라즈마의 전력의 반(0.5배)이었지만, [(온 시간 동안) RF 출력이 1kW인] 불소 가스(F2)의 펄스-시간 변조형 플라즈마의 식각 속도는 [RF 출력이 1kW인] 불소 가스(F2)의 연속 플라즈마의 식각 속도보다 약 1.5배 높음을 발견했다. 또한, 도 9에서 Si 식각이 측벽 보호막을 형성하지 않고도 수직하게 진행될 수 있음이 실증되었다. 또한, 이들 결과로부터, 불소 가스(F2)의 플라즈마를 펄스 변조함으로써 플라즈마 내에 생성되는 대량의 음이온(F-)이 Si 식각에 크게 기여하는 것도 밝혀진다. 불소 가스(F2)의 펄스-시간 변조형 플라즈마를 이용한 식각시 바람직한 수직 처리가 유지되면서 식각 속도는 1㎛/min을 넘는다. 이들 결과는 MEMS 등의 생산 과정에 요구되는 처리 조건을 만족한다.
예 3
음이온이 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 선택적으로 추출되어 중성화됨으로써 중성빔을 생성했다. 기판의 플라즈마 식각은 중성빔에 의해 수행되었다. 중성빔의 조성을 검사하기 위해, 폴리실리콘(폴리-Si)과 SiO2의 식각 속도는 다른 F 라디칼-부착 계수를 갖는 빔을 추출하는 전극을 이용하여 측정되었고 추가로 폴리-Si의 식각 형태가 관찰되었다.
<실험예 1>
도 3에 도시된 바와 같은 중성빔 생성 장치를 이용하여, 처리 가스(21)로서 예 1에서 이용된 것과 동일한 100 체적%의 불소 가스(F2)를 30㎖/min으로 플라즈마 생성 챔버(22)로 도입했고 (온 시간 동안) 13.56㎒의 방전 주파수를 갖는 1kW의 RF 바이어스를 고주파 전원(24)에서 안테나(23)로 인가하여 펄스-시간 변조형 플라즈마를 생성했다. 이 과정에서, 펄스-시간 변조시 온 시간/오프 시간은 50μ초/50μ초였다.
-100V의 직류 전압이 상부 전극(26)에 인가되었고 -50V의 직류 전압이 하부 전극(28)에 인가되었다. 이런 전위차를 제공함으로써, 펄스-시간 변조형 플라즈마에 생성된 음이온(F-)은 하부 전극(28)에 거의 수직한 방향으로 가속되었고 하부 전극(28)의 미세 기공을 통과하는 단계에서 부착 전자의 분리에 의해 중성화됨으로써 중성빔(31)을 생성했고, 중성빔은 스테인리스강으로 제조된 기판 처리 챔버(30) 내로 유동되었다.
기판 처리 챔버(30)의 기판 지지체(32)는 하부 전극(28)의 하부에서 20mm 떨어진 위치에 배치되고 -20℃로 냉각되었다. 표면에 폴리-Si막 또는 SiO2막을 갖는 기판이 기판 지지체(32)에 배치되어 상술한 중성빔(31)에 의해 플라즈마 식각되었다. 폴리-Si 및 SiO2막의 식각 속도는 다음 방식으로 결정되었다. 식각 처리는 식각 처리 시간만을 변경하여 여러 번 수행했고, 뒤이어 단차 측정 장치를 이용하여 식각 깊이를 측정했다.
다음으로, 폴리-Si 및 SiO2막의 식각 속도는 하부 전극(28)의 표면이 산화알루미늄을 분무함으로써 피복된 빔 추출용 전극을 이용한다는 점을 제외하고 상술한 조건으로 측정되었다. 이들 측정 결과는 표 2에 모두 도시되어 있다.
식각 속도(nm/min)
폴리-Si SiO2
탄소 전극 9.5 1.42
산화알루미늄 분무 전극 20.2 5.24
탄소 전극 표면 상에 산화알루미늄을 분사함으로써, 빔 추출용 전극의 표면 상의 F 라디칼 부착과 그 반응은 억제될 수 있다. 따라서, 산화알루미늄 분사 전극이 빔 추출용 전극으로 이용될 때, 플라즈마에 존재하는 F 라디칼은 전극과의 반응으로 인해 거의 사라지지 않으며, 따라서 그 자체로 중성빔으로 혼합된다.
따라서, 탄소 전극을 이용하는 경우와 산화알루미늄 분무 전극을 이용하는 경우, F 라디칼이 기판에 대한 식각 특성에 기여하는 비율은 식각 속도를 측정함으로써 추정될 수 있다. 즉, 대량의 라디칼이 중성빔에 존재하는 경우, 라디칼 소멸이 억제되는 산화알루미늄 분사 전극을 이용하는 경우의 식각 속도는 라디칼이 소멸된 탄소 전극을 이용하는 경우의 식각 속도보다 현저히 큰 것으로 생각된다.
또한, 비록 폴리-Si가 중성빔뿐만 아니라 F 라디칼 확산에 의해서 자발적으로 식각되더라도 SiO2 식각은 큰 운동에너지를 갖는 중성빔의 큰 기여도에 의해 수행된다. 따라서, 폴리-Si와 SiO2 모두의 식각 속도를 측정함으로써 중성 F빔 내로 혼합된 F 라디칼의 비율이 추정될 수 있다. 즉, 대량의 라디칼이 중성빔에 존재하는 경우, 폴리-Si의 식각 속도는 큰 반면 SiO2의 식각 속도는 작다.
표 2에서 명백한 바와 같이, 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들을 중성화시킴으로써 생성되는 중성빔에서 빔 추출용 전극으로서 탄소 전극을 이용하는 경우와 산화알루미늄 분사 전극을 이용하는 경우를 비교할 때, 폴리-Si의 식각 속도와 관련하여 큰 차이는 없음을 발견했다. 즉, 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들을 중성화시킴으로써 생성되는 중성빔에서 방향성이 없이 생성되는 F 라디칼의 양은 작은 것으로 밝혀졌다. 동시에, 이런 결과는 중성화된 중성빔에서 뿐만 아니라 불소 가스(F2)의 펄스-시간 변조형 플라즈마 그 자체에서도, 생성된 F 라디칼의 양이 작음을 보여준다.
<실험예 2>
도 3에 도시된 바와 같은 중성빔 생성 장치를 이용하여, 폴리-Si가 예 3의 실험예 1에서와 동일한 공정에 의해 식각되었으며 식각 형태는 SEM(주사 전자 현미경) 관찰을 통해 평가되었다. 식각 형태 평가에 이용되는 샘플은 Si 기판 상에 150㎚의 두께를 갖는 폴리실리콘(폴리-Si)막을 열산화처리 및 증착하여 Si 기판 상에 SiO2 막(300nm)을 형성함으로써 마련되었다. 식각 마스크로서, 폴리-Si 표면 상에는 반사 방지막과 레지스트를 도포하여 노광 및 현상 처리했다. 식각 형태를 평가하기 위해, 식각 처리 시간은 식각이 20%만큼 과잉 수행되도록 하는 조건으로 결정되었다. 즉, 식각 처리 시간은 폴리-Si 막의 두께인 150㎚보다 1.2배 큰 180㎚의 두께를 갖는 폴리-Si막을 식각할 수 있는 시간으로 결정되었다. 도 12와 도 13에는 빔 추출 전극으로서 탄소 전극을 이용하는 경우와 산화알루미늄 분사 전극을 이용하는 경우에 대한 결과가 각각 도시되어 있다.
도 12 및 도 13에 도시된 바와 같은 SEM 관찰 결과로부터 명백한 바와 같이, 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들을 중성화시킴으로써 생성되는 중성빔에서 고정밀 이방성 식각이 구현되었고 이는 예 3의 실험예 1의 결과에 나타난 바와 같이 중성빔에서 생성된 F 라디칼의 양이 작다는 가정을 증명한다.
예 4
기판에 대한 플라즈마 식각은 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들을 중성화시킴으로써 생성되는 중성빔에 의해 수행되었다. 사용된 기판은 장래의 식각법에서 기대되는 길이인 50㎚의 게이트 길이를 갖는 폴리실리콘(폴리-Si) 막을 증착함으로써 마련되었다.
도 3에 도시된 바와 같은 중성빔 생성 장치가 이용되고 하부 전극(28)이 접지 상태에 있었다는 점을 제외하고 예 3의 실험예 1의 과정을 반복함으로써, 폴리-Si막을 식각했다. 식각 속도와 식각 형태는 SEM(주사 전자 현미경) 관찰에 의해 평가되었다. 빔 추출 전극(28)은 탄소 전극이었다. 도 14에는 그 결과가 도시되어 있다.
도 14로부터 명백한 바와 같이, 50㎚의 폭을 갖는 폴리실리콘(폴리-Si) 패턴의 형성은 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들을 중성화시킴으로써 생성되는 중성빔에 의해 달성되었다. 또한, 식각 속도는 실제 속도가 게이트 전극을 처리할 때 달성될 수 있도록 29.4㎚/min였다.
비교예 1
육불화황 가스(SF6)를 처리 가스로 이용하여 펄스-시간 변조형 플라즈마를 생성했고 펄스-시간 변조형 플라즈마로부터 중성빔을 추출하여 생성한 후, 다양한 측정 장치에 의해 분석 작업을 수행했다. 위에서 설명한 예 1과 비교했으며, 처리 가스로서 불소 가스(F2)를 이용하는 경우가 뛰어났음이 확인되었다.
<실험예 1>
처리 가스로 육불화황 가스(SF6)를 이용했다는 점을 제외하고 예 1의 실험예 2의 과정을 반복했으며, 육불화황 가스(SF6)의 펄스-시간 변조형 플라즈마의 음이온을 QMS 측정으로 분석했다. 도 15에는 분석 결과가 도시되어 있다. 도 15는 비교를 위해 예 1의 실험예 2의 불소 가스(F2)의 펄스-시간 변조형 플라즈마의 음이온에 대한 분석 결과도 도시한다.
도 15에서 명백한 바와 같이, 육불화황 가스(SF6)의 펄스-시간 변조형 플라즈마에서 F- 이온은 거의 생성되지 않았으며 F- 이온의 양은 불소 가스(F2)의 펄스-시간 변조형 플라즈마에 비해 현저히 작았다.
<실험예 2>
처리 가스로 육불화황 가스(SF6)를 이용했다는 점을 제외하고 예 1의 실험예 3의 과정을 반복했으며, 육불화황 가스(SF6)의 연속 플라즈마의 전자 밀도를 측정했다. 도 7에는 분석 결과가 도시되어 있다.
도 7은 비교를 위해 상술한 예 1의 실험예 3의 불소 가스(F2)에 대한 결과도 도시한다. 도 7로부터, 불소 가스(F2)의 연속 플라즈마는 육불화황 가스(SF6)에 비해 큰 전자 밀도를 갖는다는 사실, 즉 불소 가스(F2)의 이온화 효율이 육불화황 가스(SF6)의 이온화 효율보다 높음이 확인되었다.
<실험예 3>
처리 가스로서 30㎖/min의 육불화황 가스(SF6)와 1.5㎖/min의 아르곤 가스를 이용했다는 점을 제외하고 예 1의 실험예 4의 과정을 반복했으며 육불화황 가스(SF6)의 연속 플라즈마의 불소 라디칼(F)의 양을 측정했다. 도 8에는 분석 결과가 도시되어 있다.
도 8은 비교를 위해 상술한 예 1의 실험예 4의 불소 가스(F2)에 대한 결과도 도시한다. 도 8로부터, 불소 가스(F2)의 연속 플라즈마는 육불화황 가스(SF6)의 연속 플라즈마에 비해 IF(703.7nm)/IAr(750.4nm)의 비율이 아주 작은 값을 갖는다는 사실, 즉 불소 가스(F2)의 연속 플라즈마가 낮은 함량의 불소(F) 라디칼을 갖는다는 사실이 확인되었다.
<실험예 4>
처리 가스로 육불화황 가스(SF6)가 이용했다는 점을 제외하고 예 1의 실험예 7의 과정을 반복했으며, 육불화황 가스(SF6)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들 이온을 중성화시킴으로써 생성된 최종 중성빔에 대해 유속을 측정했다. 도 11에는 분석 결과가 도시되어 있다.
도 11은 비교를 위해 상술한 비교예 1의 실험예 7의 불소 가스(F2)에 대한 결과도 도시한다. 도 11에서 명백한 바와 같이, 불소 가스(F2)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들 이온을 중성화시킴으로써 생성된 중성빔이 육불화황 가스(SF6)의 경우보다 5배 이상의 유속을 갖는 것을 확인했다.
비교예 2
처리 가스로서 육불화황 가스(SF6)를 이용하여 생성된 펄스-시간 변조형 플라즈마를 이용하여 기판에 대한 식각이 수행되었고, 실리콘(Si) 기판에 대한 식각 속도가 측정되었고 식각 형태가 관찰되었다. 상술한 예 2와의 비교를 통해서, 처리 가스(F2)로서 불소 가스(F2)를 이용하는 경우가 뛰어남이 확인되었다.
<실험예 1>
처리 가스로서 육불화황 가스(SF6)를 이용했다는 점을 제외하고 예 1의 실험예 2의 과정을 반복함으로써 육불화황 가스(SF6)의 연속 플라즈마를 생성했고 실리콘(Si) 기판에 대한 플라즈마 식각을 수행했다. 식각 속도는 다음과 같은 방식으로 결정되었다. 식각 처리는 식가 처리 시간만을 변경함으로써 여러 번 수행했고 뒤이어 단차 측정 장치를 이용하여 식각 깊이를 측정했다. 표 3에는 실험에서 얻어진 식각 속도가 도시되어 있다.
<실험예 2>
처리 가스로서 육불화황 가스(SF6)를 이용한다는 점을 제외하고 예 2의 실험예 2의 과정을 반복했으며, 이로써 실리콘(Si) 기판에 대한 식각이 펄스-시간 변조형 플라즈마에 의해 수행되었다. 표 3에는 실험에서 얻어진 식각 속도가 도시되어 있다. 또한, 도 16에는 SEM(주사 전자 현미경)에 의해 관찰된 식각 형태의 영상이 도시되어 있다.
Si 식각 속도(nm/min)
실험예 1 연속 플라즈마 4760
실험예 2 펄스-시간 변조형 플라즈마 3590
표 3에서 명백한 바와 같이, 육불화황 가스(SF6)의 연속 플라즈마(RF 출력=1kW)를 이용한 식각 속도와 비교할 때 펄스-시간 변조형 플라즈마[(온 시간 동안) RF 출력=1kW]의 식각 속도는 작다. 이 사실은 상술한 바와 같은 예 2의 실험예 1 및 2(표 1)의 결과와 크게 다르다. 육불화황 가스(SF6)의 펄스-시간 변조형 플라즈마의 식각 속도가 연속 플라즈마의 식각 속도보다 작다는 사실은 육불화황 가스(SF6) 플라즈마의 식각 반응에 주로 기여하는 하나의 반응종이 라디칼이고 펄스-시간 변조형 플라즈마에서 생성된 라디칼의 양이 연속 플라즈마에서의 라디칼 양에 비해 작다는 이유로 인한 것으로 생각된다. 또한, 도 16에서 육불화황 가스(SF6)의 펄스-시간 변조형 플라즈마에서 Si 식각시 큰 언더컷(측면 식각)이 야기된다는 사실, 즉 등방성 식각이 진행된다는 사실도 확인했다.
비교예 3
<실험예 1>
처리 가스로서 육불화황 가스(SF6)를 이용한다는 점을 제외하고 예 3의 실험예 1의 과정을 반복함으로써 육불화황 가스(SF6)의 펄스-시간 변조형 플라즈마를 생성했으며, 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들을 중성화시킴으로써 중성빔을 생성했다. 중성빔을 이용하여 폴리실리콘(폴리-Si)과 SiO2에 대한 식각 속도를 측정했다. 표 4에는 그 결과가 도시되어 있다.
식각 속도(nm/min)
폴리-Si SiO2
탄소 전극 49.2 0.74
산화알루미늄 분무 전극 1356 3.7
표 4에서 명백한 바와 같이, 육불화황 가스(SF6)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들을 중성화시킴으로써 생성되는 중성빔과 관련하여, 빔 추출용 전극으로서 탄소 전극을 이용하는 경우와 빔 추출용 전극으로서 산화알루미늄 분사 전극을 이용하는 경우를 비교할 때, 폴리-Si에 대한 식각 속도의 차이가 아주 크다는 것을 발견했다. 즉, 이 결과는 종래의 육불화황 가스(SF6)의 펄스-시간 변조형 플라즈마에서 플라즈마에서 생성되는 F 라디칼의 양이 불소(F2)의 펄스-시간 변조형 플라즈마에 비해 아주 크다는 것을 보여준다.
또한, 표 2를 표 4와 비교해 보면, 큰 운동에너지를 갖는 중성 F 빔에 의해 큰 기여도를 가질 것으로 생각되는 SiO2에 대한 식각 속도와 관련하여, 탄소 전극을 이용하는 경우와 산화알루미늄 분사 전극을 이용하는 경우에도 불소 가스(F2)를 이용하는 경우의 식각 속도가 육불화황 가스(SF6)를 이용하는 경우의 식각 속도보다 높다. 이 결과는 불소 가스(F2)의 펄스-시간 변조형 플라즈마가 종래의 육불화황 가스(SF6)의 펄스-시간 변조형 플라즈마에 비해 중성 F빔을 생성하는 효율이 현저히 뛰어남을 보여준다.
<실험예 2>
처리 가스로서 육불화황 가스(SF6)를 이용한다는 점을 제외하고 예 3의 실험예 2의 과정을 반복함으로써 폴리-Si를 식각했으며 식각 형태는 SEM(주사 전자 현미경) 관찰을 통해 평가되었다. 도 17에는 빔 추출용 전극으로서 탄소 전극을 이용하는 경우의 결과가 도시되어 있고 도 18에는 산화알루미늄 분사 전극을 이용하는 경우의 결과가 도시되어 있다.
도 17 및 도 18에 도시된 바와 같은 SEM 영상에서는 뚜렷한 측면 식각이 식각 마스크 하부에 관찰되었다. 이런 측면 식각은 중성빔에 혼합된 라디칼(방향성을 갖지 않는 F 원자)의 양이 크다는 것을 보여준다. 특히, 산화알루미늄 분사 전극을 이용하는 경우, 측면 식각이 현저히 나타난다. 이 결과는 탄소 전극을 이용하는 경우에 비해 라디칼 양이 크다는 것을 보여준다.
따라서, 육불화황 가스(SF6)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들을 중성화시킴으로써 생성되는 중성빔에서, 식각 형태는 등방성이었고 이방성 식각은 얻어질 수 없는 것으로 밝혀졌다. 즉, 이 사실은 육불화황 가스(SF6)를 이용하는 중성빔에서 방향성을 갖지 않는 F 라디칼의 양이 현저히 많도록 하는 비교예 3의 실험예 1의 효과를 지지한다.
실험 결과로부터, 처리 가스로서 불소 가스(F2)를 이용한 펄스-시간 변조형 플라즈마와 육불화황 가스(SF6)를 이용한 종래의 펄스-시간 변조형 플라즈마를 비교할 때, 생성된 F- 이온의 양은 현저히 많은 반면 생성된 무극성 F 라디칼의 양은 현저히 작음이 밝혀졌다. 또한, 처리 가스로서 불소 가스(F2)를 이용한 펄스-시간 변조형 플라즈마로부터 추출된 중성빔은 균일한 방향성을 갖는 중성 F빔이고 이방성 식각이 구현될 수 있는 것으로 밝혀졌다.
비교예 4
처리 가스로서 육불화황(SF6)을 이용한 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들 음이온을 중성화시킴으로써 생성되는 중성빔에 의해 기판에 대한 플라즈마 식각이 수행되었다. 이용된 기판은 장래의 생성 방법에서 기대되는 길이인 50㎚의 게이트 길이를 갖는 폴리실리콘(폴리-Si)막을 증착함으로써 마련되었다. 예 4와 비교함으로써, 처리 가스로 불소 가스(F2)를 이용하는 경우가 뛰어남이 확인되었다. 도 19에는 그 결과가 도시되어 있다.
도 19에서 명백한 바와 같이, 육불화황(SF6)의 펄스-시간 변조형 플라즈마로부터 음이온을 선택적으로 추출하여 이들을 중성화시킴으로써 생성되는 중성빔에서 뚜렷한 대형 언더컷(측면 식각)을 확인했고 50㎚ 수준의 폴리실리콘(폴리-Si) 패턴의 형성이 수행될 수 없음을 확인했다. 또한, 식각 속도는 18.0㎚/min였고 불소 가스(F2)를 이용한 상술한 경우(예 4)에서의 식각 속도(29.4㎚/min)에 비해 낮았음도 확인했다.

Claims (14)

  1. 플라즈마 생성 챔버 내로 불소 가스(F2)를 함유한 처리 가스를 공급하는 단계와,
    고주파 전기장의 인가 및 고주파 전기장의 인가 중단을 교대로 반복하여 불소 가스의 펄스-시간 변조형 플라즈마를 생성하는 단계와,
    기판에 플라즈마를 조사하여 기판 처리를 수행하는 단계를 포함하는 플라즈마 처리 공정.
  2. 플라즈마 생성 챔버 내로 불소 가스(F2)를 함유한 처리 가스를 공급하는 단계와,
    고주파 전기장의 인가 및 고주파 전기장의 인가 중단을 교대로 반복하여 플라즈마를 생성하는 단계와,
    플라즈마로부터 음이온 또는 양이온을 개별적으로 또는 교대로 추출하여 이들 이온을 중성화시킴으로써 중성빔을 생성하는 단계와,
    기판에 중성빔을 조사하여 기판 처리를 수행하는 단계를 포함하는 플라즈마 처리 공정.
  3. 플라즈마 생성 챔버 내로 불소 가스(F2)를 함유한 처리 가스를 공급하는 단 계와,
    고주파 전기장의 인가 및 고주파 전기장의 인가 중단을 교대로 반복하여 플라즈마를 생성하는 단계와,
    플라즈마로부터 음이온만을 선택적으로 추출하여 이들 이온을 중성화시킴으로써 중성빔을 생성하는 단계와,
    기판에 중성빔을 조사하여 기판 처리를 수행하는 단계를 포함하는 플라즈마 처리 공정.
  4. 제1항 내지 제3항 중 어느 한 항에 있어서, 처리 가스는 100 체적%의 불소 가스(F2)인 플라즈마 처리 공정.
  5. 제1항 내지 제3항 중 어느 한 항에 있어서, 처리 가스는 불소 가스(F2)와 염소 가스(Cl2)의 혼합 가스인 플라즈마 처리 공정.
  6. 제1항 내지 제3항 중 어느 한 항에 있어서, 불소 가스(F2)는 고체 금속 불화물을 열분해함으로써 생성되는 불소 가스(F2)인 플라즈마 처리 공정.
  7. 제1항 내지 제3항 중 어느 한 항에 있어서, 플라즈마의 생성시 플라즈마 생성 챔버의 가스 압력은 0.1 내지 100 Pa인 플라즈마 처리 공정.
  8. 제1항 내지 제3항 중 어느 한 항에 있어서, 플라즈마의 생성시 고주파 전기장의 인가 중단 시간은 20 내지 100μ초인 플라즈마 처리 공정.
  9. 제1항 내지 제3항 중 어느 한 항에 따른 플라즈마 처리 공정을 이용하는 것을 특징으로 하는 불소 첨가 처리 공정.
  10. 제1항 내지 제3항 중 어느 한 항에 따른 플라즈마 처리 공정을 이용하는 것을 특징으로 하는 기판 플라즈마 식각 공정.
  11. 제10항에 따른 플라즈마 식각 공정을 이용하는 것을 특징으로 하는 실리콘 또는 실리콘 화합물 플라즈마 식각 공정.
  12. 제11항에 있어서, 실리콘 화합물은 실리콘 산화물, 실리콘 질화물 또는 규산염을 포함하는 실리콘 또는 실리콘 화합물 플라즈마 식각 공정.
  13. 삭제
  14. 삭제
KR1020077002884A 2004-07-07 2005-07-06 플라즈마 처리방법 및 플라즈마 식각방법 KR100896549B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
JPJP-P-2004-00200100 2004-07-07
JP2004200100 2004-07-07
JPJP-P-2005-00091867 2005-03-28
JP2005091867A JP2006049817A (ja) 2004-07-07 2005-03-28 プラズマ処理方法およびプラズマエッチング方法
PCT/JP2005/012878 WO2006004224A1 (en) 2004-07-07 2005-07-06 Plasma treatment method and plasma etching method

Publications (2)

Publication Number Publication Date
KR20070033017A KR20070033017A (ko) 2007-03-23
KR100896549B1 true KR100896549B1 (ko) 2009-05-07

Family

ID=36027972

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077002884A KR100896549B1 (ko) 2004-07-07 2005-07-06 플라즈마 처리방법 및 플라즈마 식각방법

Country Status (6)

Country Link
US (1) US20080085604A1 (ko)
JP (1) JP2006049817A (ko)
KR (1) KR100896549B1 (ko)
CN (1) CN100573828C (ko)
TW (1) TWI392014B (ko)
WO (1) WO2006004224A1 (ko)

Families Citing this family (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7799661B2 (en) * 2006-01-03 2010-09-21 Freescale Semiconductor, Inc. Electrical sensor for real-time feedback control of plasma nitridation
JP2007250985A (ja) * 2006-03-17 2007-09-27 Showa Denko Kk プラズマエッチング方法
KR101295565B1 (ko) * 2006-10-10 2013-08-09 엘지전자 주식회사 조리기기 및 그 제작방법
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
JP4450245B2 (ja) * 2007-06-07 2010-04-14 株式会社デンソー 半導体装置の製造方法
KR100898589B1 (ko) * 2007-08-13 2009-05-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR101385750B1 (ko) 2007-11-30 2014-04-18 삼성전자주식회사 중성빔을 이용하는 기판 처리 장치 및 방법
US10453986B2 (en) * 2008-01-23 2019-10-22 Solvay Fluor Gmbh Process for the manufacture of solar cells
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
WO2011038307A1 (en) * 2009-09-25 2011-03-31 Conyers Technology Group, Llc Electrochemical processing of fluids
CN102054745B (zh) * 2009-10-30 2013-01-30 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
JP2010177708A (ja) * 2010-05-07 2010-08-12 Casio Computer Co Ltd 窒化シリコン膜のドライエッチング方法および薄膜トランジスタの製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
FR2965697B1 (fr) * 2010-09-30 2014-01-03 Astrium Sas Procede et dispositif pour la formation d'un faisceau plasma.
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9070760B2 (en) * 2011-03-14 2015-06-30 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
WO2012173162A1 (ja) * 2011-06-13 2012-12-20 国立大学法人東北大学 量子ナノドット、二次元量子ナノドットアレイ及びこれを用いた半導体装置並びに製造方法
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
TWI525698B (zh) 2011-10-31 2016-03-11 Canon Anelva Corp 磁性膜之離子束蝕刻方法及離子束蝕刻裝置
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
JP5510437B2 (ja) 2011-12-07 2014-06-04 パナソニック株式会社 プラズマ処理装置及びプラズマ処理方法
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
CN104183451A (zh) * 2013-05-22 2014-12-03 中微半导体设备(上海)有限公司 实现快速散热的法拉第屏蔽装置及等离子体处理装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601319B1 (en) * 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US11154903B2 (en) * 2016-05-13 2021-10-26 Jiangsu Favored Nanotechnology Co., Ltd. Apparatus and method for surface coating by means of grid control and plasma-initiated gas-phase polymerization
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9960049B2 (en) 2016-05-23 2018-05-01 Applied Materials, Inc. Two-step fluorine radical etch of hafnium oxide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
CN106756888B (zh) * 2016-11-30 2018-07-13 江苏菲沃泰纳米科技有限公司 一种纳米镀膜设备旋转货架装置
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11043375B2 (en) * 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
SG11202009406RA (en) 2018-04-09 2020-10-29 Applied Materials Inc Carbon hard masks for patterning applications and methods related thereto
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN114008761A (zh) 2019-07-01 2022-02-01 应用材料公司 通过优化等离子体耦合材料来调节膜特性
CN110779637B (zh) * 2019-10-29 2021-06-22 广东电网有限责任公司广州供电局 基于金属薄膜热效应的等离子体辐射能量测量薄膜量热计
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
CN112326772A (zh) * 2020-11-09 2021-02-05 上海裕达实业有限公司 用于固体材料直接测试的等离子质谱仪系统及测试方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5431774A (en) * 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
KR970072122A (ko) * 1996-04-26 1997-11-07 가나이 쯔도무 플라즈마 처리 방법 및 장치

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2082366A5 (ko) * 1970-03-12 1971-12-10 Pierrelatte Usines Chimi
US5198390A (en) * 1992-01-16 1993-03-30 Cornell Research Foundation, Inc. RIE process for fabricating submicron, silicon electromechanical structures
US5883005A (en) * 1994-03-25 1999-03-16 California Institute Of Technology Semiconductor etching by hyperthermal neutral beams
WO1999049506A1 (en) * 1998-03-20 1999-09-30 Surface Technology Systems Limited Method and apparatus for manufacturing a micromechanical device
GB9904925D0 (en) * 1999-03-04 1999-04-28 Surface Tech Sys Ltd Gas delivery system
KR100804853B1 (ko) * 1999-03-04 2008-02-20 서페이스 테크놀로지 시스템스 피엘씨 삼불화염소가스발생기시스템
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
JP3912993B2 (ja) * 2001-03-26 2007-05-09 株式会社荏原製作所 中性粒子ビーム処理装置
JP2002289584A (ja) * 2001-03-26 2002-10-04 Ebara Corp 表面処理方法
GB0122725D0 (en) * 2001-09-21 2001-11-14 Glaxo Group Ltd Drug dispensing components
JP4039834B2 (ja) * 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
JP2005508078A (ja) * 2001-10-31 2005-03-24 東京エレクトロン株式会社 高アスペクト比形態のエッチング方法
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
RU2221739C1 (ru) * 2002-12-20 2004-01-20 Закрытое акционерное общество "АСТОР ЭЛЕКТРОНИКС" Способ получения фтора

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5431774A (en) * 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
KR970072122A (ko) * 1996-04-26 1997-11-07 가나이 쯔도무 플라즈마 처리 방법 및 장치

Also Published As

Publication number Publication date
CN100573828C (zh) 2009-12-23
US20080085604A1 (en) 2008-04-10
CN1981367A (zh) 2007-06-13
TW200608489A (en) 2006-03-01
KR20070033017A (ko) 2007-03-23
TWI392014B (zh) 2013-04-01
WO2006004224A9 (en) 2006-04-13
WO2006004224A1 (en) 2006-01-12
JP2006049817A (ja) 2006-02-16

Similar Documents

Publication Publication Date Title
KR100896549B1 (ko) 플라즈마 처리방법 및 플라즈마 식각방법
US20180286707A1 (en) Gas additives for sidewall passivation during high aspect ratio cryogenic etch
JP4090492B2 (ja) ケイ素の異方性エッチング法
US6303512B1 (en) Anisotropic, fluorine-based plasma etching method for silicon
JP4073204B2 (ja) エッチング方法
KR101468614B1 (ko) 쓰루 기판 비아 측벽 및 깊게 에칭된 피쳐들을 스무싱하기 위한 사후 에칭 반응성 플라즈마 밀링
Booth Optical and electrical diagnostics of fluorocarbon plasma etching processes
JP3623256B2 (ja) 表面処理方法および表面処理装置
JP5925491B2 (ja) 電子ビーム誘起エッチング方法
Lotito et al. Playing with sizes and shapes of colloidal particles via dry etching methods
US5468339A (en) Plasma etch process
US20070197039A1 (en) Anisotropic etching method
Buzzi et al. Energy distribution of bombarding ions in plasma etching of dielectrics
KR20190073463A (ko) 탄소계 막들을 위한 자기 제한 순환 에칭 방법
JP2004296474A (ja) シリコン基板のエッチング方法及びエッチング装置
Chen et al. Very uniform and high aspect ratio anisotropy SiO 2 etching process in magnetic neutral loop discharge plasma
Akimoto et al. Reactive ion etching lag on high rate oxide etching using high density plasma
Ono et al. RF-plasma-assisted fast atom beam etching
US6228774B1 (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
JP2011199297A (ja) プラズマ処理方法およびプラズマエッチング方法
JP2007250985A (ja) プラズマエッチング方法
WO2023209812A1 (ja) プラズマ処理方法
WO2023199371A1 (ja) プラズマ処理方法
RU2003201C1 (ru) Способ плазмохимического травлени поверхности твердого тела
JPH0817796A (ja) ドライエッチング装置とその方法および半導体装置

Legal Events

Date Code Title Description
A201 Request for examination
AMND Amendment
E902 Notification of reason for refusal
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
J201 Request for trial against refusal decision
B701 Decision to grant
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20130404

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20140401

Year of fee payment: 6

FPAY Annual fee payment

Payment date: 20170330

Year of fee payment: 9

FPAY Annual fee payment

Payment date: 20180418

Year of fee payment: 10

FPAY Annual fee payment

Payment date: 20190418

Year of fee payment: 11