CN1981367A - 等离子体处理方法和等离子体蚀刻方法 - Google Patents

等离子体处理方法和等离子体蚀刻方法 Download PDF

Info

Publication number
CN1981367A
CN1981367A CNA2005800230341A CN200580023034A CN1981367A CN 1981367 A CN1981367 A CN 1981367A CN A2005800230341 A CNA2005800230341 A CN A2005800230341A CN 200580023034 A CN200580023034 A CN 200580023034A CN 1981367 A CN1981367 A CN 1981367A
Authority
CN
China
Prior art keywords
plasma
gas
substrate
processing
etching
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2005800230341A
Other languages
English (en)
Other versions
CN100573828C (zh
Inventor
星野恭之
寒川诚二
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lishennoco Co ltd
Tohoku University NUC
Resonac Holdings Corp
Original Assignee
Tohoku University NUC
Showa Denko KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tohoku University NUC, Showa Denko KK filed Critical Tohoku University NUC
Publication of CN1981367A publication Critical patent/CN1981367A/zh
Application granted granted Critical
Publication of CN100573828C publication Critical patent/CN100573828C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Micromachines (AREA)
  • ing And Chemical Polishing (AREA)

Abstract

本发明开发一种等离子体处理的方法,该等离子体处理使用没有温室效应的气体,以实现全球环境保护和等离子体工艺性能的改进,并提供一种可抑制器件损坏的高精度等离子体蚀刻方法。根据本发明的等离子体处理方法包括下面步骤:将含有氟气(F2)的处理气体馈入等离子体生成腔,交替地重复施加停止施加高频电场,以生成等离子体,和通过将等离子体辐射到衬底来进行衬底处理。此外,衬底处理可如下进行,单独或交替地从等离子体中获取阴离子或阳离子,或者选择性地只获取阴离子,将其中和,以生成中性束并将中性束辐射到衬底。

Description

等离子体处理方法和等离子体蚀刻方法
相关申请的交叉引用
本申请根据35 U.S.C§111(a)申请,根据35 U.S.C§119(e)要求根据35U.S.C§111(b)在2004年7月21日提交的临时申请60/589,574的优先权。
技术领域
本发明涉及使用等离子体处理衬底的等离子体处理方法,所述等离子体利用高频电场生成,还涉及适于在制造半导体元件和微型机械(MEMS:微型电子机械系统)元件中精细加工的等离子体蚀刻方法。
背景技术
在半导体集成电路的制造过程中用于干蚀刻的等离子体工艺中,使用大量的碳氟化合物或者无机氟化物气体(例如,四氟化碳气体(CF4),六氟化硫气体(SF6)等等)。但是,碳氟化合物或者无机氟化物气体是温室效应气体,其具有高全球变暖潜势(GWP),并且与二氧化碳一起是引发全球变暖的大因素。因此,为了减少温室效应气体对环境的排放,迫切需要开发一种使用替换碳氟化合物或者无机氟化物气体的替代气体的新方法。
在半导体集成电路的制造过程中,因为最近的处理模式非常精密,所以非常需要开发具有高精度(高选择性、高高宽比和高速率)的干蚀刻技术。
认为使用等离子体处理的等离子体蚀刻机制分为下面三组:(1)利用基团的蚀刻,(2)利用反应离子的蚀刻,(3)离子辅助蚀刻(利用离子和基团的蚀刻)。
机制(1)具有如下优点,即因为基团反应是纯化学反应,因此对各种材料具有良好的蚀刻选择性。然而,因为基团是电中性,将进入衬底的基团通过扩散到达,并且其向衬底的移动方向是随机的,从而衬底表面上的蚀刻反应在各个方向发展。因此,当以基团蚀刻衬底时,蚀刻一直进行到蚀刻掩模的下部,就是说,机制(1)存在进行各向同性蚀刻的问题。
在机制(2)中,因为离子是带电荷的粒子,离子由外部的电场加速到衬底以使离子具有方向性。这种具有加速离子的蚀刻方法的示例可包括物理溅射和化学溅射。
这样进行物理溅射,通过对衬底原子施加离子冲量来切割对衬底原子的键合并使其成为气相。同时,这样进行化学溅射,进入衬底表面的反应离子和衬底原子由入射能发生化学反应,从而将反应产物释放为气相。
在使用反应离子的蚀刻方法中,认为在衬底表面上同时发生物理溅射和化学溅射。因为将进入的入射离子与衬底几乎垂直,因此蚀刻形式形成为几乎与蚀刻掩膜垂直,即,可获得各向异性蚀刻。然而,对掩膜材料或者基底材料的蚀刻选择性与基团蚀刻相比大大降低。
机制(3)中的离子辅助反应主要取决于离子能量,因为其通过辐射加速的被吸附在要蚀刻衬底表面上的基团上的离子进行。反应主要在辐射离子的部分发生,因此蚀刻形式为各向异性蚀刻。
认为,机制(1)到(3)的蚀刻处理在使用等离子体处理的等离子体蚀刻中以某一比例同时进行。在实际蚀刻表面上,除上述蚀刻反应外,还发生反应产物的沉积或者因为气体的聚合(聚合反应)。这些表面反应过程在蚀刻反应中的比例被在蚀刻过程中的操作条件(气体类型、压力、功率等等)大大改变,因此如蚀刻速率、蚀刻形式和蚀刻选择性的蚀刻特性也被大大改变。随着半导体器件的高集成度发展,迫切需要控制这些复杂的蚀刻现象,增加离子对蚀刻反应的贡献并因此改进精细加工。
作为用于半导体的干蚀刻技术,等离子体处理是必要和不可缺少的,然而等离子体处理造成对器件的损坏由于处理图形的精细而发生。特别是,在高技术处理即处理图形精细到不超过0.1μm的尺寸中,电荷(电子、离子)辐射、等离子体中产生的光量子(光子)等等造成的损坏,造成例如栅极绝缘膜的介质击穿和处理形式异常,从而对器件特性造成严重影响。为了提高半导体器件特性并节省电耗,已经积极研究和开发了称为高-k和低-k膜的新材料,但是其和现在使用的二氧化硅(SiO2)膜相比物理上和化学上均不稳定,从而认为等离子体处理造成的损坏将更显著。因此,为提高实际应用中对高-k和低-k膜的检验,迫切需要开发新的等离子体处理。
为了避免等离子体处理造成的器件损坏,已经开发了用于控制等离子体中粒子(电子、离子、基团和光子)的技术。等离子体控制可通过下面技术获得,例如(1)脉冲-时间-调制等离子体产生技术,即以数十微秒量级交替重复施加和停止施加高频电场,从而等离子体化处理气体,和(2)中性束产生技术,即中和等离子体中的阳离子和阴离子以产生具有统一方向性的束。
在专利JP-A-6-267900或JP-A-8-181125中所述的脉冲-时间-调制等离子体产生技术中,当以数十微秒量级交替重复施加和停止施加高频电场时,在施加期间,产生阳离子和基团,而在停止施加期间,保持阳离子和基团的同时产生阴离子。脉冲-时间-调制等离子体产生技术具有这样的特性,即可大量产生阴离子,其通过常规持续放电等离子体很少产生。
在中性束产生技术中,通过施加电压加速在等离子体产生的离子,并将其经过具有许多细孔的电极而中和。因此,可产生具有统一极性的中性束。
此外,利用例如JP-A-9-139364中所述的装置,通过联合使用脉冲-时间-调制等离子体产生技术和中性束产生技术,选择性地加速和中和在脉冲-时间-调制等离子体中产生的大量阴离子,从而可高密度产生具有统一极性的中性束。因为通过分离粘附在气体原子和分子上的电子而进行对阴离子的中和,与通过电交换进行的阳离子中和相比,其可以用低能量产生高效率中性束。
已经报道,当利用由这样的过程产生并且仅由用于蚀刻反应的中性粒子组成的束进行蚀刻时,能够避免等离子体中的电粒子和光子的辐射并控制由等离子体处理造成的损坏。
为了彻底在等离子体处理中控制等离子体中的粒子(电子、离子、基团和光子),特别是为了使用其蚀刻抑制器件损坏的高精度等离子体蚀刻,除了对等离子体产生装置和中性束产生装置的改进外,使操作条件(气体类型、压力、功率等等)最优是一个重要问题。在等离子体产生中使用的气体类型有下面的问题。
在半导体装置中,因为二氧化硅(SiO2)用作电极之间的绝缘膜,有必要并且不可缺少地形成用于使电极与元件及下电极接触的接触孔。在这些接触孔的形成中,通常使用碳氟化合物,因为对用作衬底的硅提供高选择性是重要的。
在使用这样的碳氟化合物气体的等离子体中,在衬底表面上发生聚合物的沉积(聚合)。因此,在基于卤素的大多数等离子体处理中,硅衬底倾向于比SiO2衬底得到更快的蚀刻,从而在SiO2表面和Si表面上都引发聚合物沉积和蚀刻反应的竞争。然而因为O(氧)出现在SiO2层中,在SiO2蚀刻中氧离解与沉积的聚合物结合以产生挥发性产物,例如CO、CO2、或COF2分子,此外沉积聚合物的聚合在SiO2层上被抑制。同时,因为不含氧的Si膜在其表面上不产生聚合膜沉积抑制效应,所以引发聚合物的沉积。通过该沉积聚合物保护(屏蔽)Si表面的效应,能够获得对衬底的蚀刻选择性。
如上所述,然而碳氟化合物气体存在具有高全球变暖潜势的问题。因此,期望获得高选择性蚀刻而不使用这样的碳氟化合物气体。为获得该蚀刻,有必要开发不使用由碳氟化合物气体产生的沉积聚合物保护效应的新颖方法。
例如,认为如果等离子体可使用不具有温室效应的气体产生并在衬底上通过高精度地控制等离子体中的离子或者中性束的能量和密度来辐射,那么将可能彻底控制蚀刻的反应速率以及选择性,也可能实现能形成有利接触孔的方法。同时,还认为,抑制了等离子体中产生的电荷(电子、离子)和光量子(光子)所造成的辐射损坏,并且可避免上述的绝缘膜介质击穿和其处理形式异常。
同时,在用于半导体器件的电极等等的硅(Si)蚀刻中,使用Cl(氯)类气体或者Br(溴)类气体而不是F(氟)类气体来抑制与基团的反应,并从而获得各向异性蚀刻。在使用F类气体的处理中,到达Si衬底的F基团侵入Si晶格的内部以形成厚度为大约6个原子的吸收层。相反,在使用Cl类或者Br类气体的处理中,因为Cl基团或者Br基团与Si晶格间距相比较大,所以Cl基团或者Br基团几乎不侵入Si晶格的内部并且吸收层具有大约一个原子的厚度。因此,相比于Cl基团或者Br基团,F基团与Si具有更高的反应性。因此,执行使用常规等离子体处理的Si蚀刻的情况存在下面的问题,当使用F类气体时,蚀刻速率高但不能获得各向异性蚀刻。
因而,为了能够获得使用F类气体的高速率蚀刻并获得各向异性蚀刻,降低向衬底移动方向随机的F基团的比例,并且还需要开发用于以高精度产生在垂直于衬底的方向进入的F离子和中性F束的新颖方法。此外,在这样的新颖方法中,认为可避免如上所述的绝缘膜(SiO2,其是Si、高-k等等的衬底膜)介质击穿和处理形式异常。
而且,在近年已被快速检验可实用的微型机械(MEMS:微型电子机械系统)器件的制造过程中,作为精细加工,需要通过蚀刻处理来形成在Si衬底上的机械结构中使用的深度为数10μm而不超过100μm的沟槽。在这样的处理中,也可应用等离子体蚀刻技术,并且对蚀刻特性的要求主要是下面三条。
(1)可获得高蚀刻速率。
(2)可获得蚀刻轮廓的垂直性。
(3)蚀刻的壁表面具有良好的平滑度。
在要求(1)和(2)中所描述的两个特性实质上是权衡的关系。原因如下。为了获得高蚀刻速率,通常需要产生等离子体中高浓度的F基团,但在主要以基团进行的蚀刻中,不能获得蚀刻轮廓的垂直性(各向异性)。
现在,为了解决这个问题,广泛使用Bosch工艺,即重复包括各向同性蚀刻处理和形成用于保护侧壁的膜的处理的一个循环。
在Bosch过程中,首先,在蚀刻处理中,通过从SF6气体等离子体产生的F基团引起Si各向同性蚀刻。然后,在膜形成处理中,通过碳氟化合物类气体(C4F8等等)等离子体形成例如聚合物膜的碳氟化合物。在此形成中,聚合物膜沉积在所有的表面(沟的底部和侧壁部分)上。在下一再次重复的蚀刻处理中,通过接收离子电荷只选择性地去除在前一阶段形成的聚合物膜中沟槽的底部。同时,在蚀刻处理中,因为在沟槽的侧壁部分上沉积的聚合物膜不接收离子电荷,所以保护聚合物膜不受蚀刻并使其保留。在蚀刻处理中,离子电荷只在沟槽的底部感生,这是因为,高频电场等被施加到设置在衬底下部的电极,并且因此等离子体中的离子(带电粒子)在与衬底垂直的方向上加速。在每数秒到数十秒的期间重复包括这两个处理(各向同性蚀刻处理和用于保护侧壁的膜形成处理)的过程,从而可实现一定程度的高蚀刻速率以及蚀刻轮廓的垂直性。
然而,因为在膜形成处理期间完全不进行蚀刻,所以Bosch工艺存在两个问题,即蚀刻速率受到限制,并且在侧壁部分上形成称为毛边(scallop)的阶状结构,即形成表面粗糙度。Si各向同性蚀刻将造成毛边的凸点(bump)。因此,当通过延长每次蚀刻时间或者增加等离子体中F基团浓度来增加蚀刻速率时,毛边的凸点进一步增加。
因此,在Bosch工艺中,侧壁部分的平滑度和蚀刻速率是权衡的关系。现在为了改进如MEMS等等的器件的特性,已经开发了一种使毛边的凸点尽可能少的技术。
同时,已经提出各种能够不产生毛边的凸点的高速蚀刻过程,并且其中不进行在Bosch循环中需要进行的用于保护侧壁的特殊膜形成处理。例如,JP-A-2002-93776和JP-A-2004-87738公开了用于通过产生与O2气体、C4F8气体或SiF4气体混合的SF6气体的等离子体来执行衬底处理的过程。
然而在使用此混合气体的处理中,虽然可保持高蚀刻速率和蚀刻表面的平滑度,并且还可以在一定程度上改进蚀刻形式的垂直性,但是难于获得具有可通过Bosch工艺获得的蚀刻轮廓的高垂直性。
即,常规技术不能同时满足如下用于处理技术的三个要求:(1)可获得高蚀刻速率,(2)可获得蚀刻轮廓的垂直性,以及(3)蚀刻的壁表面具有良好的平滑度。
此外,如上所述,碳氟化合物类气体如SF6气体、C4F8气体等等存在高全球变暖潜能的问题。因此,强烈需要开发能够实现高性能精细加工而不使用这些温室效应气体的新颖方法。例如,如果可使用没有温室效应的气体产生等离子体,并通过控制等离子体中的离子和中性束的能量和密度而将所述等离子体辐射到衬底上,那么可能同时满足所述三个处理技术的要求,即(1)蚀刻可获得高蚀刻速率,(2)可获得蚀刻轮廓的垂直性,和(3)蚀刻的壁表面具有良好的平滑度。
发明内容
本发明的目标在于开发使用没有温室效应气体的等离子体处理方法,并提供能够抑制对器件损坏的高精度等离子体处理方法,以实现全球环境保护和等离子体处理性能的改进。
本发明人进行了认真的研究以解决上述问题并成功开发出首次利用没有温室效应气体的高精度等离子体处理方法。
本发明与下面项目有关。
(1)一种用于等离子体处理的方法,包括下面的步骤:将含有氟气(F2)的处理气体馈入等离子体生成腔,交替地重复施加和停止施加高频电场,以生成等离子体,以及通过将所述等离子体辐射到衬底来进行衬底处理。
(2)一种用于等离子体处理的方法,包括下面的步骤:将含有氟气(F2)的处理气体馈入等离子体生成腔,交替地重复施加和停止施加高频电场,以生成等离子体,单独或交替地从所述等离子体中获取阴离子或阳离子并将其中和,以生成中性束,以及通过将所述中性束辐射到衬底来进行衬底处理。
(3)一种用于等离子体处理的方法,包括下面的步骤:将含有氟气(F2)的处理气体馈入等离子体生成腔,交替地重复施加和停止施加高频电场以生成等离子体,选择性地从所述等离子体中仅获取阴离子并将其中和,以生成中性束,以及通过将所述中性束辐射到衬底来进行衬底处理。
(4)根据项目(1)到(3)中任一个的用于等离子体处理的方法,其中所述处理气体是100体积%的氟气(F2)。
(5)根据项目(1)到(3)中任一个的用于等离子体处理的方法,其中所述处理气体是氟气(F2)和氯气(Cl2)的混合气体。
(6)根据项目(1)到(5)中任一个的用于等离子体处理的方法,其中通过热解固体金属氟化物生成所述氟气(F2)。
(7)根据项目(1)到(6)中任一个的用于等离子体处理的方法,其中在生成所述等离子体中,等离子体生成腔的气压为0.1到100Pa。
(8)根据项目(1)到(7)中任一个的用于等离子体处理的方法,其中在生成等离子体中,所述停止施加高频电场的时间为20到100微秒。
(9)一种用于氟化处理的方法,该方法的特征在于利用项目(1)到(8)中任一个所述的等离子体处理方法。
(10)一种用于等离子体蚀刻衬底的方法,该方法的特征在于利用项目(1)到(8)中任一个所述的等离子体处理方法。
(11)一种用于等离子体蚀刻硅或者硅化合物的方法,该方法的特征在于利用项目(10)中所述的等离子体蚀刻方法。
(12)根据项目(11)的等离子体蚀刻方法,其中硅化合物包括二氧化硅、氮化硅或者硅酸盐。
(13)通过项目(1)到(12)中任一个所述的方法制造的半导体器件。
(14)通过项目(1)到(12)中任一个所述的方法制造的微型机械(MEMS:微型电子机械系统)器件。
发明效果
通过使用根据本发明的没有温室效应气体的等离子体处理方法,可获得用于在半导体器件制造中适于精细加工的高精度等离子体蚀刻处理。特别是,从等离子体中,只有用于蚀刻反应的中性束被获取并辐射到衬底上,从而可实现用于新一代半导体器件的制造方法,在该方法中,处理图形精细到不超过0.1μm的尺寸。此外,所述制造方法作为精细加工技术在最近开发的MEMS器件等的制造中有效。
附图说明
图1示出能够执行本发明的等离子体处理方法和等离子体蚀刻方法的脉冲时间调制等离子体生成装置的一个实施例(实例1);
图2示出能够执行本发明的等离子体处理方法和等离子体蚀刻方法的脉冲时间调制等离子体生成装置的一个实施例(实例2);
图3示出能够执行本发明的等离子体处理方法和等离子体蚀刻方法的中性束生成装置的一个实施例;
图4示出在实例1和对比实例1的实验中使用的等离子体和中性束分析装置;
图5是在根据实例1将氟气(F2)用作处理气体的情况中的连续等离子体(RF偏置=500W)和脉冲时间调制等离子体[RF偏置=2KW(在打开时间)]中的阴离子的QMS光谱;
图6是在根据实例1将氟气(F2)用作处理气体的情况中的连续等离子体(RF偏置=1KW)和脉冲时间调制等离子体[RF偏置=1KW(在打开时间)]中的阴离子的QMS光谱;
图7是在根据实例1和对比实例1将氟气(F2)用作处理气体以及将六氟化硫(SF6)用作处理气体的每种情况中的连续等离子体中的电子密度的测量结果;
图8是在根据实例1和对比实例1将氟气(F2)用作处理气体以及将六氟化硫(SF6)气体用作处理气体的每种情况中的连续等离子体中的F基团量的测量结果;
图9是通过SEM观测的与脉冲时间调制等离子体蚀刻的衬底(具有铝图形的硅表面)相关的图像,该等离子体通过根据实例2将氟气(F2)用作处理气体而生成;
图10示出将氟气(F2)用作处理气体生成的脉冲时间调制等离子体中的阴离子、以及在通过选择性地从实例1的等离子体中获取阴离子而生成的中性束中剩余阴离子的QMS光谱;
图11是在根据实例1和对比实例1将氟气(F2)用作处理气体以及将六氟化硫(SF6)气体用作处理气体的每种情况中,通过选择性地从脉冲时间调制等离子体中获取阴离子而生成的中性束总通量的测量结果;
图12是通过SEM观测的与中性束在下面情况中蚀刻的衬底(具有抗蚀剂图形的多晶硅表面)相关的图像,即通过使用碳制电极而选择性地获取阴离子,该电极用于从脉冲时间调制等离子体中获取束,该等离子体通过根据实例3将氟气(F2)用作处理气体而生成;
图13是通过SEM观测的与中性束在下面情况中蚀刻的衬底(具有抗蚀剂图形的多晶硅表面)相关的图像,即通过使用具有氧化铝喷涂表面的碳制电极而选择性地获取阴离子,该电极用于从脉冲时间调制等离子体中获取束,该等离子体通过根据实例3将氟气(F2)用作处理气体而生成;
图14是通过SEM观测的与由中性束蚀刻的衬底(具有50nm线宽的抗蚀剂图形的多晶硅表面)相关的图像,该中性束通过从脉冲时间调制等离子体中选择性地获取阴离子而生成,该等离子体将氟气(F2)用作实例4的处理气体而生成;
图15示出根据实例1和对比实例1将氟气(F2)用作处理气体以及将六氟化硫(SF6)气体用作处理气体的每种情况中的脉冲时间调制等离子体中的阴离子的QMS光谱;
图16是通过SEM观测的与脉冲时间调制等离子体蚀刻的衬底(具有铝图形的硅表面)相关的图像,该等离子体通过根据对比实例2将六氟化硫(SF6)气体用作处理气体而生成。
图17是通过SEM观测的与中性束在下面情况中蚀刻的衬底(具有抗蚀剂图形的多晶硅表面)相关的图像,即通过使用碳制电极而选择性地获取阴离子,该电极用于从脉冲时间调制等离子体中获取束,该等离子体通过根据对比实例3将六氟化硫(SF6)用作处理气体而生成;
图18是通过SEM观测的与中性束在下面情况中蚀刻的衬底(具有抗蚀剂图形的多晶硅表面)相关的图像,即通过使用具有氧化铝喷涂表面的碳制电极来选择性地获取阴离子,该电极用于从脉冲时间调制等离子体中获取束,该等离子体通过根据对比实例3将六氟化硫(SF6)气体用作处理气体而生成;
图19是通过SEM观测的与由中性束蚀刻的衬底(具有50nm线宽的抗蚀剂图形的多晶硅表面)相关的图像,该中性束通过从脉冲时间调制等离子体中选择性地获取阴离子而生成,该等离子体通过根据对比实例4将六氟化硫(SF6)气体用作处理气体而生成。
[参考数字说明]
1处理气体
2石英制等离子体生成和衬底处理腔
3用于生成感应耦合等离子体的天线
4用于产生能够进行脉冲时间调制的等离子体的高频电源
5等离子体
6用于加速离子的碳制上部电极
7用于施加电压的电源(对上部电极)
8用于加速离子的碳制下部电极
9用于施加电压的电源(对下部电极)
10衬底固定基底
11衬底
12废气
21处理气体
22石英制等离子体生成腔
23用于生成感应耦合等离子体的天线
24用于产生能够进行脉冲时间调制的等离子体的高频电源
25等离子体
26用于加速离子的碳制上部电极
27用于施加电压的电源(对上部电极)
28用于加速离子的碳制下部电极(用于获取束的电极)
29用于施加电压的电源(对下部电极)
30不锈钢制衬底处理腔
31中性束
32衬底固定基底
33衬底
34废气
41处理气体
42石英制等离子体生成腔
43用于生成感应耦合等离子体的天线
44用于产生能够进行脉冲时间调制的等离子体的高频电源
45等离子体
46用于加速离子的碳制上部电极
47用于施加电压的电源(对上部电极)
48用于加速离子的碳制下部电极(用于获取束的电极)
49用于施加电压的电源(对下部电极)
50不锈钢测量腔
51中性束
52测量装置
53废气
61处理气体
62等离子体生成腔和衬底处理腔
63用于生成感应耦合等离子体的天线
64用于产生能够进行脉冲时间调制的等离子体的高频电源
65等离子体
68用于加速离子的碳制电极
69用于施加电压的电源
70衬底固定基底
71衬底
72废气
具体实施方式
下文将描述根据本发明的等离子体处理方法和使用处理方法的等离子体蚀刻方法。
图1示出脉冲时间调制等离子体生成装置的一个实例,其能够执行根据本发明的等离子体处理方法和等离子体蚀刻方法。下面描述图1中所示的脉冲时间调制等离子体生成装置的结构。
在图1中所示的脉冲时间调制等离子体生成装置中,用于生成感应耦合等离子体的天线3以线圈状卷绕等离子体生成和衬底处理腔2的外围,该腔以石英制成并具有用于馈入处理气体1的入口,天线3与用于生成能够进行脉冲时间调制的等离子体的高频电源4相连。
从已经馈入处理气体1的等离子体生成和衬底处理腔2的外部施加高频电场,在等离子体生成和衬底处理腔2中产生等离子体5。连续施加高频电场产生常规等离子体(下文指连续等离子体),并且交替重复施加高频电场和停止施加可以产生脉冲时间调制等离子体。施加高频电场以及停止施加例如可通过从高频电源4向天线3施加脉冲状态的放电频率为13.56MHz的RF偏置而进行。高频电场的施加和停止施加的交替重复时间(脉冲宽度)可任意确定。
而且,在等离子体生成和衬底处理腔2内的上部和下部上,提供用于加速离子的碳制上部电极6和用于加速离子的碳制下部电极8,并将其分别连到用于施加电压(对上部电极)的电源7和用于施加电压(对下部电极)的电源9。
利用施加到上部电极6的电压与施加到下部电极8的电压之间的势差,在等离子体5(正或负带电粒子)中产生的离子以几乎垂直于衬底11的方向加速以及几乎垂直地辐射到衬底11,衬底11设置在等离子体生成和衬底处理腔2中的衬底固定基底10上。上述衬底固定基座10可由冷却装置(未示出)冷却。
等离子体生成和衬底处理腔2由排气泵(未示出)来排气,并且废气12经过废气处理装置(未示出)的无毒处理而排到系统外。
图2示出脉冲时间调制等离子体生成装置的一个实例,其能够执行本发明的等离子体处理方法和等离子体蚀刻方法。图2中所示的脉冲时间调制等离子体生成装置的结构下面进行描述。
在图2中所示的脉冲时间调制等离子体生成装置中,用于生成感应耦合等离子体的天线63以螺旋状卷绕到等离子体生成和衬底处理腔62的上表面,该腔具有用于馈入处理气体61的入口,天线63与用于生成能够进行脉冲时间调制的等离子体的高频电源64相连。
从已经馈入处理气体61的等离子体生成和衬底处理腔62的外部施加高频电场,在等离子体生成和衬底处理腔62中产生等离子体65。连续施加高频电场产生连续等离子体,并且交替重复施加高频电场的和停止施加可以产生脉冲时间调制等离子体。施加高频电场以及停止施加例如可通过从高频电源64向天线63施加作为放电频率的脉冲状态的13.56MHz的RF偏置而进行。高频电场的施加和停止施加的交替重复时间(脉冲宽度)可任意确定。
而且,在衬底固定基底70的下部上提供用于加速离子的电极68,并将其连到施加电压的电源69。上面的衬底固定基底70可由冷却装置(未示出)冷却。此外,衬底61的高度,即衬底与等离子体生成部分之间的距离可通过提升装置(未示出)来改变。
等离子体生成和衬底处理腔62的内部由排气泵(未示出)来排气,并且废气72经过废气处理装置(未示出)的无毒处理而排到系统外。
本发明的第一方法是等离子体处理方法,其包括下面的步骤:将含有氟气(F2)的处理气体馈入等离子体生成腔,例如通过图1和2中所示的脉冲时间调制等离子体生成装置,交替的重复施加高频电场和停止施加以生成等离子体,和使等离子体辐射衬底并从而进行衬底处理。本发明人已经首次成功生成氟气(F2)的脉冲时间调制等离子体。
在由上述方法获得的氟气(F2)脉冲时间调制等离子体中,生成阴离子(F-)的量与连续等离子体相比大得多。在氟气(F2)脉冲时间调制等离子体中生成的阴离子(F-)的量也远大于已经进行常规研究的将六氟化硫(SF6)气体用作处理气体的情况。
可证实,由等离子体的电子密度测量结果可知,氟(F2)气体连续等离子体的电子密度远大于六氟化硫(SF6)气体连续等离子体的电子密度。等离子体电子密度高的事实表明处理气体的电离效应高,并且认为这样的氟(F2)气体特性是在脉冲时间调制等离子体中产生大量阴离子(F-)的原因。即,假定下面的模式,在脉冲时间调制等离子体中的高频电场打开(ON)时间中生成的高密度电子在随后的高频电场关闭(OFF)时间中分离地附接到氟气(F2)以生成大量阴离子(F-)。
在氟气(F2)的脉冲时间调制等离子体中,所生成的没有方向性的基团(F)数量远低于常规研究的将六氟化硫(SF6)气体用作处理气体的情况,其中,所述基团妨碍精细加工。
因此,在将氟气(F2)用作处理气体的脉冲时间调制等离子体中,大量产生的阴离子(F-)借助外部的电场向衬底加速,以产生方向性,并且因为生成的没有方向性的基团(F)数量低,可以实现期望的各向异性蚀刻。
尽管氟气(F2)是全球变暖潜能(GWP)为零、没有温室效应的气体,其对于等离子体处理技术和等离子体蚀刻处理技术的实际用途直到现在几乎没有进行研究。究其原因,第一是因为具有非常高的反应性、腐蚀性和毒性的氟气(F2)难于操纵,第二,通常已知,在于当由于氟气(F2)的等离子体以常规方法生成时,不能实现各向异性蚀刻,而这是重要的蚀刻特性。
本发明的方法特征在于基于下面的技术进步背景将含氟气(F2)的气体用作处理气体。即,在半导体器件等的生产过程中,伴随着近来具有良好抗腐蚀性材料的新发展以及气体馈入装置的可靠性和安全性的进步,已经可能将具有高反应性、腐蚀性和毒性的氟气(F2)用作处理气体。基于这样的技术背景,本发明人首先将氟气(F2)应用到脉冲时间调制等离子体,并且首先发现氟气(F2)脉冲时间调制等离子体具有良好的精细加工性能,这是特有的性质以至于生成的离子数量大而生成的基团数量小。因此,本发明人首先做出高速率各向异性蚀刻方法,而将使用氟气(F2)的等离子体投入实际使用。
其次,图3示出中性束生成装置的一个实例,其能够执行本发明的等离子体处理方法和等离子体蚀刻方法。下面描述图3中所示的中性束生成装置的结构。
在图3中所示的中性束生成装置中,石英制等离子体生成腔22的结构和图1中所示的脉冲时间调制等离子体生成装置中的石英制等离子体生成和衬底处理腔2相同。在等离子体生成腔22中,设置有用于馈入处理气体21的入口,并且用于生成感应耦合等离子体的天线23以线圈状卷绕等离子体生成腔22的外围,天线23与用于生成能够进行脉冲时间调制的等离子体的高频电源24相连。等离子体25(连续等离子体和脉冲时间调制等离子体)例如可通过从高频电源24向天线23施加放电频率为13.56MHz的RF偏置而生成。
而且,在等离子体生成腔22内的上部和下部上,提供用于加速离子的碳制上部电极26和用于加速离子的碳制下部电极28(用于获取束的电极),并将其分别连到用于施加电压(对上部电极)的电源27和用于施加电压(对下部电极)的电源29。
利用上部电极26的电压与下部电极28的电压之间的势差,在等离子体25(正或负带电粒子)中产生的离子以几乎垂直于衬底33的方向加速以及几乎垂直地辐射衬底33,衬底33设置在不锈钢制衬底处理腔30中的衬底固定基底32上。
为了中和加速的和从等离子体25获取的离子,在下部电极28上提供多个细孔,例如直径为1mm深度为10mm的孔。被施加到上部电极26与下部电极28上的电压势差加速的离子通过在经过下部电极28的细孔时的电荷交换或者电子分离来中和,从而生成中性束31。中性束31几乎垂直地辐射到衬底33。而且,上述衬底固定基底32可由冷却装置(未示出)冷却,并且衬底33的高度,即衬底与等离子体生成部分之间的距离可通过提升装置(未示出)来改变。
衬底处理腔30内部由排气泵(未示出)来排气,并且废气34经过废气处理装置(未示出)的无毒处理而排到系统外。
本发明的第二方法是等离子体处理方法,其包括下面的步骤:将含有氟气(F2)的处理气体馈入等离子体生成腔,交替的重复施加高频电场和停止施加以生成等离子体,例如使用图3所示的中性束生成装置,独立和交替地从等离子体获取阴离子或者阳离子并将其中和以生成中性束,以及将中性束辐射到衬底并从而进行衬底处理。
如上所述,本发明人已经首次成功生成氟气(F2)的脉冲时间调制等离子体,并且发现在将氟气(F2)用作处理气体的脉冲时间调制等离子体中,所生成的能够提供方向性的阴离子(F-)数量非常大并且所生成的没有方向性的基团(F)数量非常小。
但是,图1所示的在本发明第一方法中描述的脉冲时间调制等离子体生成装置存在下面的问题,即因为等离子体生成腔和衬底处理腔连成一体,所以可实现各向异性蚀刻,但是由于辐射带电粒子和在等离子体中生成的光子对衬底造成的损坏也不可避免。
同时,图3所示的在本发明第二方法中描述的中性束生成装置中,阴离子或阳离子可独立或交替的从氟气(F2)脉冲时间调制等离子体中获取,并被中和以生成蚀刻方法必需的中性束,束辐射衬底。因此,可实现其中抑制由辐射带电粒子和在等离子体中生成的光子对衬底造成损坏的各向异性蚀刻。
用于交替地从氟气(F2)脉冲时间调制等离子体中获取阴离子和阳离子的方法可包括对如图3所示的下电极28施加交流电的方法。具体为,在图3中,对上部电极26施加-50V的直流电压,对下部电极28施加100V的交流电压,从而交替加速氟气(F2)脉冲时间调制等离子体中的阴离子和阳离子并使其经过下电极28,从而生成中性束31。
本发明的第三方法是等离子体处理方法,其包括下面的步骤:将含有氟气(F2)的处理气体馈入等离子体生成腔,交替重复施加高频电场和停止施加以生成等离子体,例如使用图3所示的中性束生成装置,选择性地仅从等离子体获取阴离子并将其中和以生成中性束,使中性束辐射衬底并从而进行衬底处理。
如上所述,通过分离粘附在气体原子和分子上的电子中和阴离子,与通过电荷交换中和阳离子相比,其可以以低能量高效率产生中性束。在仅仅获取阴离子并以此方式中和的情况中,生成中性束的密度降低,而中性束中和的比例提高。因此,与用于获取阳离子和阴离子两者并中和它们的方法相比,中性束中剩余的带电粒子减少。因此,可实现各向异性蚀刻,使得进一步抑制由辐射带电粒子和在等离子体中生成的光子造成的对衬底的损坏。
在将含有氟气(F2)的气体用作处理气体生成脉冲时间调制等离子体的方法中,包含在处理气体中的氟气(F2)浓度可根据如等离子体处理方法等的目标任意确定,并且为了较高效率地获得高密度等离子体和中性束,优选使用较高浓度的氟气(F2),而且特别优选使用100体积%的氟气(F2)。
作为处理气体,没有温室效应且与氟气(F2)相似的氯气(Cl2),也优选在这种气体中使用以和氟气(F2)混合。在使用氟气(F2)和氯气(Cl2)混合气体的脉冲时间调制等离子体中,改变氟气(F2)和氯气(Cl2)混合比率,F(F-和中性F束)和Cl(Cl-和中性Cl束)的混合比率容易改变,可进行利用氟(F)和氯(Cl)化学性质差异或者粒子尺寸差异的等离子体处理或者等离子体蚀刻处理。
例如,在蚀刻栅极多晶硅中,通过提高要求高速蚀刻的初始蚀刻步骤中氟气(F2)浓度而迅速进行蚀刻反应,并且通过提高要求高选择性蚀刻的后一蚀刻步骤中的氯气(Cl2)的浓度,可利用氟(F)和氯(Cl)的化学性质差异进行处理优化。因为氟(F)粒子和氯(Cl)的相比较小,其可以形成低损坏性的蚀刻处理。优选,根据等离子体处理工艺或等离子蚀刻工艺的目的,以最佳比率确定处理气体中氟气和氯气的混合比率。
作为氟气(F2)的馈入源,可以选择和使用任何供给系统,例如以高压充入的氟气罐、利用氟化氢的电解反应或者金属氟化物的热解反应的氟气生成装置等。在这些系统中,利用固体金属氟化物热解反应的系统特别优选,因为其安全性高并且可供给较高纯度的氟气(F2)。
在使用含有氟气(F2)的处理气体生成等离子体中,等离子体生成腔中的气压为0.1到100Pa,优选0.3到10Pa,特别优选0.5到5Pa,其可根据等离子体处理方法等的目标选择地确定。当气压被确定在上述范围中时,可以高效率提供高密度等离子体和中性束。当等离子体生成腔中的气压低于上述范围时,难于产生高密度等离子体,而当高于上述范围时,等离子体和中性束的产生效率趋向于降低。
在通过交替重复施加(打开)高频电场的和停止施加(关闭)生成脉冲时间调制等离子体来产生进入等离子体的处理气体的方法中,打开时间和关闭时间的组合可选择地确定,并且一般利用重复数十微秒量级的打开时间和关闭时间的处理。在将含有氟气(F2)的气体用作本发明处理气体的情况中,关闭时间为20到100微秒,优选40到90微秒,特别优选从50到70微秒。当关闭时间短于上述范围时,阴离子的生成趋向于不足,而当长于上述范围时,等离子体中的电子密度降低而造成在下个打开时间中难于放电的效应,或者在打开时间中电子温度迅速升高从而电子增加的效应,带来趋向于抑制脉冲时间调制效应的结果。
上述本发明的等离子体处理方法优选用于精确氟化衬底表面(精确控制氟渗入衬底表面的深度或者氟浓度梯度)的氟化处理方法,低损坏和高精度(各向异性、选择性、高速率)地精细加工各种衬底的表面的等离子体蚀刻方法和其它方法。等离子体处理方法还可优选用作硅和硅化合物的等离子体蚀刻技术,该技术在半导体器件或者MEMS器件的制造步骤中是重要的。上述硅化合物的实例可包括二氧化硅、氮化硅、硅酸盐(例如玻璃状硅酸钠,等)等。
特别是,与利用常规研究的使用六氟化硫(SF6)的方法相比,本发明的方法非常适合作为应用于未来等离子体蚀刻技术的氟(F-离子和中性F束)源,因为可生成适合的用于蚀刻反应的等离子体和中性束。
因此,本发明首先能够形成利用氟(F)和氯(Cl)之间化学性质和粒子尺寸差异的最优方法,在本发明的方法中,以组合的氯气(Cl2)的脉冲时间调制等离子体用作氯(Cl-离子和中性Cl束)源。
例如,根据要蚀刻的物质和每种蚀刻方法中的蚀刻目标,适当使用或者混合中性F束和中性Cl束,以提高蚀刻速率或者选择性。因此,本发明的方法非常适合于蚀刻未来新技术中所使用新材料(Hf型高-k和所有包含贵金属的化合物)的方法。
因此,利用本发明的精细加工技术,可制造目前还没有制造的超高特性的半导体器件或者新MEMS器件。
而且,因为使用没有温室效应的便宜氟气(F2)作为处理气体,本发明的方法适应于环境并非常实用,从而该方法的技术价值非常高。
实例
以下,本发明参照下面的实例得到更详细的描述,但本发明不受这些实例的限制。
实例1
使用如图4所示的等离子体和中性束分析装置,从氟气(F2)产生连续等离子体、脉冲时间调制等离子体和中性束,然后使用QMS(四级质谱仪)、微波干涉仪、发射光谱仪、法拉第筒和量热计来分析所产生的连续等离子体、脉冲时间调制等离子体和中性束。
首先,图4中所示的等离子体和中性束分析装置的结构如下所述。在图4所示的等离子体和中性束分析装置中,石英制等离子体生成腔42与图1所示的脉冲时间调制等离子体生成装置中的石英制等离子体生成和衬底处理腔2的结构相同。等离子体生成腔42具有用于馈入处理气体41的入口,并且用于生成感应耦合等离子体的天线43以线圈状卷绕等离子体生成腔的外围,天线43与用于生成能够进行脉冲时间调制的等离子体的高频电源44相连。
等离子体45(连续等离子体和脉冲时间调制等离子体)例如可通过从高频电源44向天线43施加放电频率为13.56MHz的RF偏置而生成。
而且,在等离子体生成腔42内的上部和下部上,提供用于加速离子的碳制上部电极46和用于加速离子的碳制下部电极48(用于获取束的电极),并将其分别连到用于施加电压(对上部电极)的电源47和用于施加电压(对下部电极)的电源49。
利用上部电极46的电压与下部电极48的电压之间的势差,在等离子体45中产生的离子(正或负带电粒子)以几乎垂直于测量装置52的方向加速以及几乎垂直地辐射到测量装置52,所述测量装置52被置于不锈钢制测量腔50中。
为了中和加速的和从等离子体45获取的离子,在等于下部电极48上电极面积的50%的面积中提供多个细孔(直径为1mm深度为10mm的孔)。被施加到上部电极46与下部电极48上的电压势差加速的离子通过在经过下部电极48细孔时的电荷交换、电子分离等而被中和,从而生成中性束51。中性束51以几乎垂直于测量装置52的方向辐射。
不锈钢制测量腔50由涡轮分子泵来排气(未示出),并且废气53经过排气处理装置(未示出)的无毒处理而排到系统外。
<实验1>
将100体积%的氟气(F2)作为处理气体41以30mL/min的速度导入图4所示等离子体和中性束分析装置中的等离子体生成腔42中,并且从高频电源44将放电频率为13.56MHz(500W或1kW)的RF偏置连续地施加到天线43上以产生连续等离子体。这里,上部电极46与下部电极48处于接地状态而不施加电压。等离子体生成腔42中的压力在生成等离子体时为1Pa。作为氟气(F2),使用通过在350℃加热充满K3NiF7的容器引起K3NiF7热解反应生成的氟气(F2),K3NiF7是金属氟化物。
在测量腔50中,提供QMS(测量装置52)从而QMS的气体引入孔设置在距离下部电极48的下部大约200mm的位置,并进行对等离子体45的测量。在上部电极46与下部电极48如上所述处于接地状态而不施加电压的情况中,在等离子体生成腔42中生成的等离子体45经过下部电极48,而其保持等离子体组成并几乎不被中、且然后被吹入QMS中。测量腔50被用于排气的涡轮分子泵来快速排气,并且废气53通过废气处理装置(未示出)的无毒处理而排到系统外。
通过上述过程,通过QMS测量分析氟气(F2)连续等离子体中包含的阴离子。图5中示出RF偏置=500W的分析结果,图6中示出RF偏置=1kW的分析结果。
<实验2>
重复实例1中实验1的步骤,但是将100体积%的氟气(F2)作为处理气体41以30mL/min的速度导入图4所示的等离子体和中性束分析装置的等离子体生成腔42中,并且将放电频率为13.56MHz(在打开时间为2kW或在打开时间为500W)的RF偏置以似脉冲状态从高频电源44施加到天线43上,以产生脉冲时间调制等离子体,在脉冲时间调制中的打开时间/关闭时间为50微秒/50微秒,并且将施加到天线43上的电压调制为脉冲状,以产生脉冲时间调制等离子体。
通过上述过程,通过QMS测量分析氟气(F2)脉冲时间调制等离子体中包含的阴离子。图5中示出RF偏置=2kW(在打开时间)的分析结果,图6中示出RF偏置=1kW(在打开时间)的分析结果。
由图5和6可以清楚,在氟气(F2)连续等离子体中,所生成的F-离子的量较小,而在氟气(F2)的脉冲时间调制等离子体中,所生成的F-离子的量显著增加。
<实验3>
将100体积%的氟气(F2)作为处理气体41以30mL/min的速度导入图4所示的等离子体和中性束分析装置中的等离子体生成腔42中,将放电频率为13.56MHz的RF偏置连续地从高频电源44施加到天线43上,以产生连续等离子体。这里,上部电极46与下部电极48处于接地状态而不施加电压。在等离子体生成时间,等离子体生成腔42中的压力为1Pa。作为氟气(F2),使用通过在350℃加热充满K3NiF7的容器引起K3NiF7热解反应生成的氟气(F2),K3NiF7是金属氟化物。
将微波干涉仪(未示出)设置在等离子体生成腔42的外部并且测量氟气(F2)连续等离子体的电子密度。改变施加到天线43上的高频电场的输出以生成等离子体,并且关于氟气(F2)连续等离子体的电子密度,测量RF输出的相关性。分析结果如图7所示。
图7还示出后面描述的用于对比的对比实例1中实验2的六氟化硫(SF6)的结果。由图7可以清楚,与六氟化硫(SF6)相比,在氟气(F2)的连续等离子体中的电子密度较高,甚至在RF输出为大约400W的情况中,电子密度不小于1.0×1011/cm3。进一步,还发现电子密度随着RF输出的增加单调增加。连续等离子体的电子密度高的事实表示在打开时间中脉冲时间调制等离子体中高频电场等离子体密度高。
因此,如上所述,可以预期在脉冲时间调制等离子体中,在打开时间产生的高密度电子在下面的高频电场关闭时间中离解地粘附到氟气(F2)上,以产生大量阴离子(F-)。
<实验4>
以30mL/min的速度将氟气(F2)和以1.5mL/min的速度将氩气作为处理气体41导入图4所示的等离子体和中性束分析装置中的等离子体生成腔42中,将放电频率为13.56MHz的RF偏置连续地从高频电源44施加到天线43上,以产生连续等离子体。这里,上部电极46与下部电极48处于接地状态而不施加电压。在等离子体生成时间,等离子体生成腔42中的压力为1Pa。作为氟气(F2),使用通过在350℃加热充满K3NiF7的容器引起K3NiF7热解反应生成的氟气(F2),K3NiF7是金属氟化物。
将发射光谱仪(未示出)设置在等离子体生成腔42的外部,并且测量氟气(F2)连续等离子体的发射光谱。改变施加到天线43上的高频电场的输出以生成等离子体,并且关于氟气(F2)连续等离子体的发射光谱,测量RF输出相关性。分析结果如图7所示。从在每种等离子体条件中获得的发射光谱,确定氩基团(Ar)的发射峰(750.4nm)对氟基团(F)的发射峰(703.7nm)的强度比率[IF(703.7nm)/IAr(750.4nm)]。能够通过IF(703.7nm)/IAr(750.4nm)的相互比较来进行氟基团(F)的量的相对比较。上述方法的分析步骤通常称为发射光能测定。分析结果在图8中示出。
图8还示出后面描述的用于对比的对比实例1中实验3的六氟化硫(SF6)的结果。由图8可以清楚,和六氟化硫(SF6)相比,氟气(F2)的连续等离子体的IF(703.7nm)/IAr(750.4nm)非常低,甚至在将RF输出从300提高到1000W的情况中,IF(703.7nm)/IAr(750.4nm)示出几乎明确的值。即在氟气(F2)的连续等离子体中,氟(F)基团量在进行测量的RF输出区域非常小。
<实验5>
重复实例1中实验2的步骤,但是在如图4所示的等离子体和中性束分析装置中,将-100V的直流电压施加到上部电极46上、将-50V的直流电压施加到下部电极48上,以产生氟气(F2)的脉冲时间调制等离子体。
在上部电极46和下部电极48上,提供这样的势差,从而在脉冲时间调制等离子体内生成的大量阴离子(F-)以几乎垂直于下部电极48的方向加速,并在经过下部电极48细孔的步骤中通过分离粘附的电子被中和。因此,生成中性束51并将其吹进测量腔50中的QMS(测量装置52)中。
通过上面方法,选择性地从氟气(F2)脉冲时间调制等离子体获取阴离子并将其中和,以生成中性束。通过QMS测量分析所生成的中性束中残留的阴离子,即未被中和的阴离子。结果在图10中示出。作为关于实例1的实验2中的氟气(F2)脉冲时间调制等离子体的对比,图10中还示出阴离子分析结果。
由图10可以清楚,在通过选择性地从氟气(F2)脉冲时间调制等离子体获取阴离子并中和它们所生成的中性束中,几乎不包含剩余的未被中和的阴离子(F-)。也就是说,结果表明,通过选择性地从氟气(F2)脉冲时间调制等离子体获取阴离子并中和它们所生成中性束的中和速率非常高,即中和具有高效率。
<实验6>
重复实例1中实验5的步骤,但是作为设置在图4所示测量腔50中的测量装置52,使用并设置法拉第筒(测量装置52)使得法拉第筒的气体导入孔设置在距离下部电极48的下部大约20mm的位置,以通过选择性的从氟气(F2)脉冲时间调制等离子体获取阴离子并中和它们而生成中性束。关于留在所生成中性束中的阴离子,即未被中和的离子,使用法拉第筒测量电离密度。从而证实,中性束中剩余的阴离子电流密度比可检测的下限(0.4μA/cm2)小并且非常低。
由使用QMS和法拉第筒的测量结果,可以清楚,在氟气(F2)脉冲时间调制等离子体中,生成大量F-离子,等离子体中大量的F-离子被高效中和并且可实现接近几乎100%的中和率。
<实验7>
重复实例1中实验5的步骤,但是作为设置在图4所示的测量腔50中的测量装置52,设置量热计,以通过选择性地从氟气(F2)脉冲时间调制等离子体获取阴离子并中和它们而生成中性束。通过量热计测量生成的中性束的通量。认为吹进量热计的束的动能几乎彻底在量热计中热交换,从而量热计的输出电压的改变(ΔV/15秒)被作为中性束的通量。结果在图11中示出。
在图11中,还示出后面描述的用于对比的对比实例1中实验4的六氟化硫气体(SF6)的结果。由图11可以清楚,发现中性束的通量是六氟化硫气体(SF6)的五倍或更多,该束通过选择性地从氟气(F2)脉冲时间调制等离子体获取阴离子并中和它们而生成。
实例2
通过氟气(F2)脉冲时间调制等离子体进行对衬底的等离子体蚀刻,测量硅(Si)的蚀刻速率并观测蚀刻形式。
<实验1>
使用图2所示的脉冲时间调制等离子体生成装置,将与实例1中所用相同的100体积%的氟气(F2)作为处理气体61以30mL/min的速度导入等离子体生成和衬底处理腔62中,并且将放电频率为13.56MHz的RF偏置(1kW)从高频电源64施加到天线63上以产生连续等离子体65。
对用于加速离子68的碳制电极,以用于施加电压69的电源的50W输出来施加1MHz的RF偏置。通过向用于以这种方式加速离子68的碳制电极施加RF偏置,在等离子体65中生成的阳离子和阴离子以几乎垂直于衬底固定基底70的方向加速并辐射到衬底上。
衬底固定基底70设置在距离等离子体生成部下部50mm的位置,并被冷却到-20℃。在衬底固定基底70上设置硅(Si)衬底,并且通过等离子体65对衬底进行等离子体蚀刻,在所述硅衬底的表面上设置铝薄膜作为蚀刻掩膜。以下面方式确定蚀刻速率。通过只改变蚀刻处理时间而进行几次蚀刻处理,然后使用步测装置测量蚀刻深度。表1中示出实验中获得的蚀刻速率。
<实验2>
重复实例2中实验1的步骤,但是将放电频率为13.56MHz(在打开时间)的RF偏置以脉冲状态从高频电源64施加到天线63上,以产生脉冲时间调制等离子体65,从而进行对衬底的等离子体蚀刻。该步骤中,在脉冲时间调制中的打开时间/关闭时间为50微秒/50微秒。表1中示出在实验中获得的蚀刻速率。图9示出通过SEM(扫描电子显微镜)观测的蚀刻图。
表1
    Si蚀刻速率(纳米/分钟)
    实验1连续等离子体     840
    实验2脉冲时间调制等离子体     1220
由表1可以清楚,氟气(F2)脉冲时间调制等离子体(RF输出=1kW(在打开时间))的蚀刻速率是氟气(F2)连续等离子体(RF输出=1kW)的蚀刻速率的约1.5倍,即使其实际等离子体功率是氟气(F2)连续等离子体的一半(0.5倍)。此外,由图9可以证实,Si蚀刻可以垂直进行而不形成侧壁保护膜。而且,从这些结果还展示出,在通过脉冲调制氟气(F2)等离子体而在等离子体中生成的大量阴离子(F-)大大有利于Si蚀刻。在通过氟气(F2)脉冲时间调制等离子体的蚀刻中,在保持有利的垂直处理时,蚀刻速率高于1μm/min。这些结果满足在MEMS等的生产过程中要求的处理条件。
实例3
从氟气(F2)脉冲时间调制等离子体,选择性地获取阴离子并将其中和,以生成中性束。通过中性束进行对衬底的蚀刻处理。为了检验中性束的成分,使用获取具有不同F基团粘附系数束的电极测量对多晶硅(多-Si)和SiO2的蚀刻速率,并且还观测对多晶硅的蚀刻形式。
<实验1>
使用如图3所示的中性束生成装置,将与实例1中所用相同的100体积%的氟气(F2)作为处理气体21以30mL/min的速度导入等离子体生成腔22中,并且将放电频率为13.56MHz(在打开时间)的1kW RF偏置以脉冲状态从高频电源24施加到天线23上,以产生脉冲时间调制等离子体。在该步骤中,在脉冲时间调制中的打开时间/关闭时间为50微秒/50微秒。
对上部电极26施加-100V的直流电压,对下部电极28施加-50V的直流电压。通过提供这样的势差,在脉冲时间调制等离子体中产生的阴离子(F-)以几乎垂直于下部电极28的方向加速,并通过在经过下部电极28细孔的步骤中分离粘附电子而中和,以生成中性束31,并且中性束被吹进不锈钢制衬底处理腔30。
衬底处理腔30的衬底固定基底32设置在距离下部电极28的下部20mm的位置,并被冷却到-20℃。将表面上设有多晶硅膜或者SiO2膜的衬底设置在衬底固定基底32上,并且通过上述中性束31对其进行等离子体蚀刻。以下面的方式确定对多晶硅或者SiO2的蚀刻速率。通过只改变蚀刻处理时间而进行几次蚀刻处理,然后使用步测装置测量蚀刻深度。
接着,以上面条件测量对多晶硅或者SiO2的蚀刻速率,但是使用用于获取束的电极,其中下部电极28的表面被喷涂有氧化铝。这些测量结果包含在表2中。
表2
    蚀刻速率(纳米/分钟)
    多晶硅     SiO2
    碳制电极     9.5     1.42
    氧化铝喷涂电极     20.2     5.24
在碳电极表面上喷涂氧化铝,可抑制F基团在用于获取束的电极表面上的粘附及其反应。因此,当氧化铝喷涂电极用作获取束的电极时,等离子体中的F基团基本不会因为与电极反应而消失,从而实际上混合在中性束中。
因此,在使用碳制电极的情况和在使用氧化铝喷涂电极的情况下,F基团对用于衬底蚀刻特性贡献的比例可通过测量蚀刻速率来推测。即,在中性束中出现大量基团的情况下,认为,在使用其中抑制基团消失的氧化铝喷涂电极情况中的蚀刻速率显著大于在使用其中基团消失的碳电极情况中的蚀刻速率。
此外,认为尽管多晶硅不仅通过中性F束而且通过扩散F基团来自发性蚀刻,但是主要通过动能较大的中性束来进行SiO2蚀刻。因此,测量对多晶硅和SiO2两者的蚀刻速率,可以推测混合进中性F束的F基团比例。即,在中性束中出现大量基团的情况下,对多晶硅的蚀刻速率较大而对SiO2的蚀刻速率较小。
由表2可以清楚,在通过选择性地从氟气(F2)脉冲时间调制等离子体获取阴离子并中和而生成的中性束中,当将碳制电极用作获取束的电极的情况和使用氧化铝喷涂电极的情况相比时,发现关于对多晶硅的蚀刻速率,两者之间的差异不大。即,显示出在通过选择性的从氟气(F2)脉冲时间调制等离子体获取阴离子并中和而生成的中性束中,所生成的不具有方向性的F基团数量小。同时,该结果表明不仅在中和的中性束中而且在氟气(F2)脉冲时间调制等离子体本身中,所生成的F基团数量小。
<实验2>
使用图3所示的中性束生成装置,通过和实例3的实验1中相同的处理来蚀刻多晶硅,并且通过使用SEM(扫描电子显微镜)观测来评估蚀刻形式。通过热氧化处理和在Si衬底上沉积150nm厚度的多晶硅(多-Si)膜,而在Si衬底上形成SiO2膜(300nm),从而制备用于蚀刻形式评估的样品。作为蚀刻掩膜,在多晶硅表面上施加增透膜和抗蚀剂,并且对其进行曝光和显影处理。为了评估蚀刻形式,确定蚀刻处理时间,使得进行多出20%的蚀刻,即,将蚀刻处理时间确定为能够蚀刻180nm厚的多晶硅膜,该厚度是多晶硅膜厚150nm的1.2倍。关于使用碳电极的情况和将氧化铝喷涂电极用作获取束电极的情况,结果分别在图12和图13中示出。
由图12和图13所示的SEM观测结果可知,在通过选择性地从氟气(F2)脉冲时间调制等离子体获取阴离子并中和而生成的中性束中,可实现高精度各向异性蚀刻,并且其证明下面的假设,在中性束中所生成F基团的量较小,在实例3的实验1的结果中揭示了这一点。
实例4
由通过选择性地从氟气(F2)脉冲时间调制等离子体获取阴离子并中和而生成的中性束,进行对衬底的等离子体蚀刻。这里所用的衬底通过沉积50nm的栅极长度的多晶硅(多晶Si)膜制备,该长度是未来蚀刻中要求的。
重复实例3中实验1的步骤,但是使用图3所示的中性束生成装置,并且下部电极28处于接地状态,从而蚀刻多晶硅膜。通过SEM(扫描电子显微镜)的观测评估蚀刻速率和蚀刻形式。获取束28的电极是碳电极。结果在图14中示出。
由图14可以清楚,由通过选择性地从氟气(F2)脉冲时间调制等离子体获取阴离子并中和它们所生成的中性束,形成了宽度为50nm的多晶硅(多晶Si)结构。而且,蚀刻速率是29.4nm/min,从而在处理栅极中可获得实用速率。
对比实例1
通过将六氟化硫(SF6)气体用作处理气体生成脉冲时间调制等离子体,并且生成从脉冲时间调制等离子体中获取的中性束,然后以各种测量装置对其进行分析。与所述实例1进行比较,并且证实将氟气(F2)用作处理气体的情况是优选的。
<实验1>
重复实例1中实验2的步骤,但是将六氟化硫(SF6)气体用作处理气体,并且通过QMS测量分析在六氟化硫(SF6)脉冲时间调制等离子体中的阴离子。测量结果在图15中示出。为了比较,图15还示出对实例1的实验2中的氟气(F2)脉冲时间调制等离子体中的阴离子的分析结果。
由图15可以清楚,在六氟化硫(SF6)气体的脉冲时间调制等离子体中,几乎不生成F-离子,并且F-离子的数量与氟气(F2)脉冲时间调制等离子体相比少得多。
<实验2>
重复实例1中实验3的步骤,但是将六氟化硫(SF6)气体用作处理气体,并且测量六氟化硫(SF6)气体连续等离子体的电子密度。分析结果在图7中示出。
图7还示出所述实例1的实验3中的氟气(F2)的结果以用于比较。从图7可以证实,氟气(F2)连续等离子体和六氟化硫(SF6)相比具有更大的电子密度,即,氟气(F2)的电离效率高于六氟化硫(SF6)。
<实验3>
重复实例1中实验4的步骤,但是将30mL/min的六氟化硫(SF6)气体和1.5mL/min的氩气用作处理气体,并且测量六氟化硫(SF6)气体的连续等离子体的氟基团(F)的量。分析结果在图8中示出。
图8还示出所述实例1的实验4中氟气(F2)的结果以用于比较。从图8可以证实,氟气(F2)连续等离子体与六氟化硫(SF6)气体相比具有更小的IF(703.7nm)/IAr(750.4nm)比率,即,氟气(F2)连续等离子体含有少量的氟基团(F)。
<实验4>
重复实例1中实验7的步骤,但是将六氟化硫(SF6)气体用作处理气体,然后对于通过选择性地从六氟化硫(SF6)气体的脉冲时间调制等离子体获取阴离子并中和它们所生成的中性束,测量通量。结果在图11中示出。
图11还示出所述实例1的实验7中的氟气(F2)的结果以用于比较。从图11可以证实,通过选择性地从氟气(F2)脉冲时间调制等离子体获取阴离子并中和它们所生成的中性束,与六氟化硫(SF6)气体相比具有5倍或更大的通量。
对比实例2
使用通过将六氟化硫(SF6)气体用作处理气体生成的脉冲时间调制等离子体,可进行对衬底的等离子体蚀刻,然后测量对硅(Si)衬底的蚀刻速度并且观测蚀刻形式。与上述实例2相比,证实将氟气(F2)用作处理气体的情况是优选的。
<实验1>
重复实例1中实验2的步骤,但是将六氟化硫(SF6)气体用作处理气体,从而产生六氟化硫(SF6)气体的连续等离子体,并进行对硅(Si)衬底的等离子体蚀刻。以下面方式确定蚀刻速率。通过只改变蚀刻处理时间进行几次蚀刻处理,然后使用步测装置测量蚀刻深度。在实验中获得的蚀刻速率在表3中示出。
<实验2>
重复实例2中实验2的步骤,但是将六氟化硫(SF6)气体用作处理气体,从而通过脉冲时间调制等离子体对硅(Si)衬底进行蚀刻。在实验中获得的蚀刻速率在表3中示出。此外,图16示出通过SEM(扫描电子显微镜)观测的蚀刻图。
表3
    Si蚀刻速率(纳米/分钟)
    实验1连续等离子体     4760
    实验2脉冲时间调制等离子体     3590
由表3可以清楚,与利用六氟化硫(SF6)连续等离子体(RF输出=1kW)的蚀刻速率相比,利用脉冲时间调制等离子体(在打开时间中RF输出=1kW)的蚀刻速率较小。该事实与上述实例2(表1)中实验1和2的结果大不相同。认为六氟化硫(SF6)气体脉冲时间调制等离子体的蚀刻速率小于连续等离子体的蚀刻速率的原因是,主要促进六氟化硫(SF6)气体等离子体的蚀刻反应的反应物是基团,并且在脉冲时间调制等离子体中,生成的基团的量与连续等离子体相比较少。另外,从图16也可以证实,在六氟化硫(SF6)气体脉冲时间调制等离子体中,在Si蚀刻中造成大量底切(侧蚀),即进行各向同性蚀刻。
对比实例3
<实验1>
重复实例3中实验1的步骤,但是将六氟化硫(SF6)气体用作处理气体,从而生成六氟化硫(SF6)气体脉冲时间调制等离子体,并且通过选择性地从所述脉冲时间调制等离子体获取阴离子并中和它们而生成中性束。使用中性束测量对多晶硅(多晶Si)和SiO2的蚀刻速率。结果在图4中示出。
表4
    蚀刻速率(纳米/分钟)
    多晶硅     SiO2
    碳制电极     49.2     0.74
    氧化铝喷涂电极     1356     3.7
由表4可以清楚,关于通过选择性地从六氟化硫(SF6)脉冲时间调制等离子体获取阴离子并中和它们所生成的中性束,当将使用碳制电极作为获取束的电极的情况与使用氧化铝喷涂电极作为获取束电极的情况相比时,发现对多晶硅的蚀刻速率的差异非常大。即,该结果表明,在常规六氟化硫(SF6)脉冲时间调制等离子体中,在等离子体中生成的F基团数量与氟气(F2)脉冲时间调制等离子体相比非常大。
此外,当将表2与表4相比时,关于对SiO2的蚀刻速率,认为具有大动能的中性F束对其有重大贡献,即使在使用碳制电极和使用氧化铝喷涂电极的任何情况中,使用氟气(F2)情况的蚀刻速率高于使用六氟化硫(SF6)情况的蚀刻速率。该结果表明,氟气(F2)脉冲时间调制等离子体与常规六氟化硫(SF6)气体脉冲时间调制等离子体相比具有非常好的中性F束生成效率。
<实验2>
重复实例3中实验2的步骤,但是将六氟化硫(SF6)气体用作处理气体,从而蚀刻多晶硅,并且通过SEM(扫描电子显微镜)的观测评估蚀刻形式。图17中示出将碳电极用作获取束的电极情况的结果,并且图18中示出使用氧化铝喷涂电极情况的结果。
在图17和18所示的SEM图中,观测到在蚀刻掩膜下面的清楚侧蚀。该侧蚀表明混合进中性束的基团(没有方向性的F原子)数量大。特别是,在使用氧化铝喷涂电极的情况中,出现明显的侧蚀。该结果表明基团数量与使用碳电极的情况相比较大。
因此,在通过选择性地从六氟化硫(SF6)脉冲时间调制等离子体获取阴离子并中和它们而生成的中性束中,蚀刻形式为各向同性,并且发现不能获得各向异性蚀刻。即,该事实支持对比实例3的实验1中的效果,从而在使用六氟化硫(SF6)的中性束中,所生成的不具有方向性的F基团数量非常大。
根据实验结果,当将使用氟气(F2)作为处理气体的脉冲时间调制等离子体与使用六氟化硫(SF6)气体的常规脉冲时间调制等离子体相比时,发现所生成F-离子的数量非常大,而所生成的没有极性的F基团的数量非常小,所述没有极性的基团将造成对精细加工的干扰。此外,发现从使用氟气(F2)作为处理气体的脉冲时间调制等离子体中获取的中性束是具有一致方向性的中性F束,并且可实现各向异性蚀刻。
对比实例4
由通过选择性地从使用六氟化硫(SF6)作为处理气体的脉冲时间调制等离子体获取阴离子并中和它们而生成的中性束,进行对衬底的等离子体蚀刻。使用通过沉积栅极长度为50nm的多晶硅(多晶Si)膜而制备的衬底,所述长度将在将来的生成中是希望的。通过与实例4比较,证实将氟气(F2)用作处理气体的情况是优选的。结果在图19中示出。
由图19可以清楚,在通过选择性地从六氟化硫(SF6)脉冲时间调制等离子体获取阴离子并中和它们而生成的中性束中,证实出现清楚的大底切(侧蚀),并且发现不能形成50nm量级的多晶硅(多晶Si)。而且,还发现,蚀刻速率是18.0nm/min,与上述使用氟气(F2)(实例4)的情况中的蚀刻速率(29.4nm/min)相比较低。

Claims (14)

1.一种等离子体处理方法,该方法包括以下步骤:
将含有氟气(F2)的处理气体馈入等离子体生成腔,
交替地重复施加和停止施加高频电场,以生成等离子体,以及通过将所述等离子体辐射到衬底来进行衬底处理。
2.一种等离子体处理方法,该方法包括以下步骤:
将含有氟气(F2)的处理气体馈入等离子体生成腔,
交替地重复施加和停止施加高频电场,以生成等离子体,
单独或交替地从所述等离子体中获取阴离子或阳离子并将其中和,以生成中性束,以及
通过将所述中性束辐射到衬底来进行衬底处理。
3.一种等离子体处理方法,该方法包括以下步骤:
将含有氟气(F2)的处理气体馈入等离子体生成腔,
交替地重复施加和停止施加高频电场以生成等离子体,
选择性地从所述等离子体中仅获取阴离子并将其中和,以生成中性束,以及
通过将所述中性束辐射到衬底来进行衬底处理。
4.根据权利要求1到3中任一项的等离子体处理方法,其中所述处理气体是100体积%的氟气(F2)。
5.根据权利要求1到3中任一项的等离子体处理方法,其中所述处理气体是氟气(F2)和氯气(Cl2)的混合气体。
6.根据权利要求1到5中任一项的等离子体处理方法,其中通过热解固体金属氟化物生成所述氟气(F2)。
7.根据权利要求1到6中任一项的等离子体处理方法,其中在生成所述等离子体中,等离子体生成腔的气压为0.1到100Pa。
8.根据权利要求1到7中任一项的等离子体处理方法,其中在生成等离子体中,所述停止施加高频电场的时间为20到100微秒。
9.一种用于氟化处理的方法,该方法的特征在于,利用权利要求1到8中任一项所述的等离子体处理方法。
10.一种用于等离子体蚀刻衬底的方法,该方法的特征在于,利用权利要求1到8中任一项所述的等离子体处理方法。
11.一种用于等离子体蚀刻硅或者硅化合物的方法,该方法的特征在于,利用权利要求10中所述的等离子体蚀刻方法。
12.根据权利要求11的等离子体蚀刻方法,其中所述硅化合物包括二氧化硅、氮化硅或者硅酸盐。
13.一种半导体器件,其通过权利要求1到12中任一项所述的方法制造。
14.一种微型机械(MEMS:微型电子机械系统)器件,其通过权利要求1到12中任一项所述的方法制造。
CNB2005800230341A 2004-07-07 2005-07-06 等离子体处理方法和等离子体蚀刻方法 Active CN100573828C (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
JP2004200100 2004-07-07
JP200100/2004 2004-07-07
JP091867/2005 2005-03-28
JP2005091867A JP2006049817A (ja) 2004-07-07 2005-03-28 プラズマ処理方法およびプラズマエッチング方法

Publications (2)

Publication Number Publication Date
CN1981367A true CN1981367A (zh) 2007-06-13
CN100573828C CN100573828C (zh) 2009-12-23

Family

ID=36027972

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005800230341A Active CN100573828C (zh) 2004-07-07 2005-07-06 等离子体处理方法和等离子体蚀刻方法

Country Status (6)

Country Link
US (1) US20080085604A1 (zh)
JP (1) JP2006049817A (zh)
KR (1) KR100896549B1 (zh)
CN (1) CN100573828C (zh)
TW (1) TWI392014B (zh)
WO (1) WO2006004224A1 (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102054745B (zh) * 2009-10-30 2013-01-30 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法
CN103151234A (zh) * 2011-12-07 2013-06-12 松下电器产业株式会社 等离子体处理装置以及等离子体处理方法
CN110779637A (zh) * 2019-10-29 2020-02-11 广州供电局有限公司 基于金属薄膜热效应的等离子体辐射能量测量薄膜量热计
CN112326772A (zh) * 2020-11-09 2021-02-05 上海裕达实业有限公司 用于固体材料直接测试的等离子质谱仪系统及测试方法

Families Citing this family (177)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7799661B2 (en) * 2006-01-03 2010-09-21 Freescale Semiconductor, Inc. Electrical sensor for real-time feedback control of plasma nitridation
JP2007250985A (ja) * 2006-03-17 2007-09-27 Showa Denko Kk プラズマエッチング方法
KR101295565B1 (ko) * 2006-10-10 2013-08-09 엘지전자 주식회사 조리기기 및 그 제작방법
JP2008205436A (ja) * 2007-01-26 2008-09-04 Toshiba Corp 微細構造体の製造方法
JP4450245B2 (ja) * 2007-06-07 2010-04-14 株式会社デンソー 半導体装置の製造方法
KR100898589B1 (ko) * 2007-08-13 2009-05-20 주식회사 하이닉스반도체 반도체 소자 제조 방법
KR101385750B1 (ko) 2007-11-30 2014-04-18 삼성전자주식회사 중성빔을 이용하는 기판 처리 장치 및 방법
KR20150063581A (ko) 2008-01-23 2015-06-09 솔베이 플루오르 게엠베하 태양전지의 제조 방법
US8460567B2 (en) 2008-07-01 2013-06-11 Tokyo Electron Limited Method and system for etching a MEM device
US20110233067A1 (en) * 2009-09-25 2011-09-29 Conyers Technology Group, Llc Electrochemical processing of fluids
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
JP2010177708A (ja) * 2010-05-07 2010-08-12 Casio Computer Co Ltd 窒化シリコン膜のドライエッチング方法および薄膜トランジスタの製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
FR2965697B1 (fr) * 2010-09-30 2014-01-03 Astrium Sas Procede et dispositif pour la formation d'un faisceau plasma.
US8133349B1 (en) 2010-11-03 2012-03-13 Lam Research Corporation Rapid and uniform gas switching for a plasma etch process
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US20140116502A1 (en) * 2011-06-13 2014-05-01 Tohoku University Quantum nanodots, two-dimensional quantum nanodot array as well as semiconductor device using the same and production method therefor
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10388491B2 (en) 2011-10-31 2019-08-20 Canon Anelva Corporation Ion beam etching method of magnetic film and ion beam etching apparatus
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
CN104183451A (zh) * 2013-05-22 2014-12-03 中微半导体设备(上海)有限公司 实现快速散热的法拉第屏蔽装置及等离子体处理装置
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9601319B1 (en) * 2016-01-07 2017-03-21 Lam Research Corporation Systems and methods for eliminating flourine residue in a substrate processing chamber using a plasma-based process
US11154903B2 (en) * 2016-05-13 2021-10-26 Jiangsu Favored Nanotechnology Co., Ltd. Apparatus and method for surface coating by means of grid control and plasma-initiated gas-phase polymerization
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9960049B2 (en) 2016-05-23 2018-05-01 Applied Materials, Inc. Two-step fluorine radical etch of hafnium oxide
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
CN106756888B (zh) * 2016-11-30 2018-07-13 江苏菲沃泰纳米科技有限公司 一种纳米镀膜设备旋转货架装置
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11043375B2 (en) * 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
WO2019199681A1 (en) 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11270905B2 (en) 2019-07-01 2022-03-08 Applied Materials, Inc. Modulating film properties by optimizing plasma coupling materials
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FR2082366A5 (zh) * 1970-03-12 1971-12-10 Pierrelatte Usines Chimi
US5198390A (en) * 1992-01-16 1993-03-30 Cornell Research Foundation, Inc. RIE process for fabricating submicron, silicon electromechanical structures
US5431774A (en) * 1993-11-30 1995-07-11 Texas Instruments Incorporated Copper etching
US5883005A (en) * 1994-03-25 1999-03-16 California Institute Of Technology Semiconductor etching by hyperthermal neutral beams
TW487983B (en) * 1996-04-26 2002-05-21 Hitachi Ltd Manufacturing method for semiconductor device
GB9904925D0 (en) * 1999-03-04 1999-04-28 Surface Tech Sys Ltd Gas delivery system
WO1999049506A1 (en) * 1998-03-20 1999-09-30 Surface Technology Systems Limited Method and apparatus for manufacturing a micromechanical device
KR100804853B1 (ko) * 1999-03-04 2008-02-20 서페이스 테크놀로지 시스템스 피엘씨 삼불화염소가스발생기시스템
US6391788B1 (en) * 2000-02-25 2002-05-21 Applied Materials, Inc. Two etchant etch method
JP2002289584A (ja) * 2001-03-26 2002-10-04 Ebara Corp 表面処理方法
JP3912993B2 (ja) * 2001-03-26 2007-05-09 株式会社荏原製作所 中性粒子ビーム処理装置
GB0122725D0 (en) * 2001-09-21 2001-11-14 Glaxo Group Ltd Drug dispensing components
JP4039834B2 (ja) * 2001-09-28 2008-01-30 株式会社荏原製作所 エッチング方法及びエッチング装置
KR100775175B1 (ko) * 2001-10-31 2007-11-12 동경 엘렉트론 주식회사 높은 어스펙트비의 반도체장치 에칭방법
JP4073204B2 (ja) * 2001-11-19 2008-04-09 株式会社荏原製作所 エッチング方法
RU2221739C1 (ru) * 2002-12-20 2004-01-20 Закрытое акционерное общество "АСТОР ЭЛЕКТРОНИКС" Способ получения фтора

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102054745B (zh) * 2009-10-30 2013-01-30 中芯国际集成电路制造(上海)有限公司 形成接触孔的方法
CN103151234A (zh) * 2011-12-07 2013-06-12 松下电器产业株式会社 等离子体处理装置以及等离子体处理方法
US9885115B2 (en) 2011-12-07 2018-02-06 Panasonic Intellectual Property Management Co., Ltd. Plasma treatment apparatus and plasma treatment method
CN110779637A (zh) * 2019-10-29 2020-02-11 广州供电局有限公司 基于金属薄膜热效应的等离子体辐射能量测量薄膜量热计
CN112326772A (zh) * 2020-11-09 2021-02-05 上海裕达实业有限公司 用于固体材料直接测试的等离子质谱仪系统及测试方法

Also Published As

Publication number Publication date
TWI392014B (zh) 2013-04-01
US20080085604A1 (en) 2008-04-10
WO2006004224A1 (en) 2006-01-12
TW200608489A (en) 2006-03-01
WO2006004224A9 (en) 2006-04-13
JP2006049817A (ja) 2006-02-16
KR20070033017A (ko) 2007-03-23
CN100573828C (zh) 2009-12-23
KR100896549B1 (ko) 2009-05-07

Similar Documents

Publication Publication Date Title
CN100573828C (zh) 等离子体处理方法和等离子体蚀刻方法
Booth Optical and electrical diagnostics of fluorocarbon plasma etching processes
Booth et al. CF x radical production and loss in a CF 4 reactive ion etching plasma: fluorine rich conditions
Economou Pulsed plasma etching for semiconductor manufacturing
CN103824746B (zh) 用于沟槽与介层洞轮廓修饰的方法与设备
US20180286707A1 (en) Gas additives for sidewall passivation during high aspect ratio cryogenic etch
Kae-Nune et al. Mass spectrometry detection of radicals in SiH4-CH4-H2 glow discharge plasmas
JP3623256B2 (ja) 表面処理方法および表面処理装置
D’Emic et al. Deep trench plasma etching of single crystal silicon using SF6/O2 gas mixtures
Tian et al. Controlling VUV photon fluxes in pulsed inductively coupled Ar/Cl2 plasmas and potential applications in plasma etching
CN105845550A (zh) 被处理体的处理方法
van Roosmalen dry etching of silicon oxide
US5468339A (en) Plasma etch process
Cunge et al. Laser-induced fluorescence detection of as a primary product of Si and reactive ion etching with gas
Kubota et al. 200-mm-diameter neutral beam source based on inductively coupled plasma etcher and silicon etching
Anjum et al. Evolution of plasma parameters in capacitively coupled He–O2/Ar mixture plasma generated at low pressure using 13.56 MHz generator
Ueyama et al. Electron behaviors in afterglow of synchronized dc-imposed pulsed fluorocarbon-based plasmas
Zotovich et al. Low-k protection from F radicals and VUV photons using a multilayer pore grafting approach
Saloum et al. Diagnostic and processing in SF6 RF remote plasma for silicon etching
Gillis et al. Precision, damage-free etching by electron-enhanced reactions: results and simulations
JP2011199297A (ja) プラズマ処理方法およびプラズマエッチング方法
JPH04137532A (ja) 表面処理方法及びその装置
Perrin Reactor design for a-Si: H deposition
Lee et al. Characteristics of neutral beam generated by reflection on a planar-type reflector and its etching properties
Samukawa High-performance and damage-free plasma etching processes for future ULSI patterning

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CP01 Change in the name or title of a patent holder
CP01 Change in the name or title of a patent holder

Address after: Tokyo, Japan

Patentee after: Lishennoco Co.,Ltd.

Patentee after: TOHOKU University

Address before: Tokyo, Japan

Patentee before: Showa electrical materials Co.,Ltd.

Patentee before: TOHOKU University

TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20230413

Address after: Tokyo, Japan

Patentee after: Showa electrical materials Co.,Ltd.

Patentee after: TOHOKU University

Address before: Tokyo, Japan

Patentee before: SHOWA DENKO Kabushiki Kaisha

Patentee before: TOHOKU University