JP2010283362A - 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程 - Google Patents

実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程 Download PDF

Info

Publication number
JP2010283362A
JP2010283362A JP2010157388A JP2010157388A JP2010283362A JP 2010283362 A JP2010283362 A JP 2010283362A JP 2010157388 A JP2010157388 A JP 2010157388A JP 2010157388 A JP2010157388 A JP 2010157388A JP 2010283362 A JP2010283362 A JP 2010283362A
Authority
JP
Japan
Prior art keywords
etching
silicon
reactive
plasma
ion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2010157388A
Other languages
English (en)
Inventor
John F Donohue
ジョン エフ. ドノフェ
David J Johnson
デイビッド ジェイ. ジョンソン
Michael W Devre
マイケル ダブリュー. デブレ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Oerlikon USA Inc
Original Assignee
Unaxis USA Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Unaxis USA Inc filed Critical Unaxis USA Inc
Publication of JP2010283362A publication Critical patent/JP2010283362A/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00563Avoid or control over-etching
    • B81C1/00571Avoid or control under-cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】シリコン・オン・インシュレータ界面のアンダカットを実質的に抑制する方法を提供する。
【解決手段】垂直方向に一様なエッチングを行うように様々なサイズの凹部内のイオン充電を制限するためにイオン密度が低減される反応性イオン・エッチング工程を仕上げエッチング段階として使用することによってアンダカットが実質的になくなる、シリコン・オン・インシュレータ基板に異方性プラズマ・エッチングを施す方法を開示する。
【選択図】図1

Description

発明の分野
本発明は、シリコン・オン・インシュレータ(silicon on insulator ; SOI)構造のアンダカットを実質的になくす、半導体材料に異方性エッチングを施す改良された方法に関する。
関連技術の説明
半導体チップを含むシリコン含有デバイスを製造する際の重要な段階は、完成した半導体チップまたは薄膜回路を構成するポリシリコンやシリコンなどの様々な層をエッチングすることである。
トレンチなどのSOI構造は、シリコン・絶縁体界面までエッチングされたときにアンダカットを生じることがわかっている。
基板にエッチングすべき個々の構造は通常、いわゆるマスキング層、例えば、フォトレジスト層を介してシリコン基板に塗布されるエッチングマスクによって画定され、マスキング層は、UV光に露光されその後で現像された後、基板上に残り、それによってシリコン層をエッチング液から保護する。
異方性エッチング技法では、横方向に厳密に画定された凹部(接点を介してトレンチ)をシリコンに形成する必要がある。このような深く延びる凹部は、できるだけ垂直にする必要のある側壁を有さなければならない。
エッチングされないようになっているシリコン基板領域を覆うマスキング層の縁部は、マスクからシリコンへの構造遷移の横方向精度をできるだけ高くするためにアンダカットされない。そのため、エッチングが構造の底部でのみ進行することができ、構造のすでに作製された側壁上では横方向に進行できないようにする必要がある。
この目的のために、プラズマ・エッチング法を使用してシリコン基板上に形状をエッチングすることが提案されている。この方法では、リアクタ内の反応性ガス混合物中で、放電の助けにより、化学反応種と充電された粒子(イオンおよび電子)とが生じる。このように生じた正に充電された陽イオンは、RF電界をシリコン基板に印加することにより、誘導された電気バイアスによって基板の方へ加速され、事実上垂直に基板表面上に落下し、反応性プラズマ種とエッチングベース上のシリコンとの化学反応を推進する。
陽イオンがほぼ垂直に落下するために、エッチングは構造の側壁の方へ徐々に進行すべきであり、最適な場合には全く進行すべきではない。
フッ素ベースの、危険ではなく工程において安定な反応性ガスを使用することが知られている。しかし、このような反応性ガスは、非常に高いエッチング速度と、エッチングすべき基板とマスクとの間の非常に高い選択性を可能にするが、著しく等方性のエッチング挙動を示す。
プラズマ中で生成されたフッ素基は、構造縁部(横方向表面)が高速にエッチングされる高い自然反応速度を示し、したがって、マスク縁部、トレンチ側壁のアンダカット、および絶縁体界面上のトレンチのアンダカットが望ましくないものになる。
アンダカットの問題を解消する様々な提案がなされている。このような1つの方法においては、保護層を設けることを含み、例えば米国特許第4528066号(特許文献1)では、二酸化ケイ素の絶縁体層をエッチングせずにタングステンシリサイドおよび多結晶シリコンの層からゲート電極をエッチングする反応性エッチング技法が開示されている。ゲートの側壁は、ポリテトラフルオロエチレン層を塗布することによってエッチングから保護される。
以下ではBosch工程と呼ばれる米国特許第5501893号(特許文献2)において、まずシリコン基板にプラズマ・エッチング段階が施され、その後で、露出された領域が、一時的なエッチングストップを形成するポリマー層で覆われる、第2の重合段階が実行される、エッチング工程が開示されている。この2つの段階は、エッチング段階と重合段階を交互に繰り返すことによって工程を構成する。
本明細書で詳しく説明する代替方法では、エッチングの間に同時にプラズマに存在する1つまたは複数のポリマー形成化合物で側壁が覆われ、それによって、ポリマー膜が壁を保護する。ポリマー膜はエッチングベース上にも形成されるので、イオンが安定に落下することにより、この膜がポリマーから分離され、かつそこへのエッチングが可能になるはずである。しかし、フッ素担体自体から部分的に形成されるか、またはフッ素基を分割することによって部分的に形成されるか、または意図的に添加された不飽和化合物もしくは腐食した有機フォトレジスト・マスク材料によって生じる、プラズマに添加されるポリマー形成化合物が、フッ素基に対する再結合パートナーとして生じるという欠点が、上記の技法に関連して存在する。目的が化学的平衡であるこの逆反応によって、エッチングに必要なフッ素のかなりの部分が消費され、同時に、側壁のパッシベーションに必要なポリマー形成物質の対応する成分が失われる。このため、この方法によって実現できるエッチング速度は著しく低くなる。
プラズマ中の不飽和ポリマー形成化合物に対してエッチングフッ素基がこのように依存するので、エッチング速度およびエッチング形状は、エッチングすべき空きシリコン基板表面に依存する。これは、フッ素基がプラズマ中に存在するポリマー形成化合物と反応し、それにより、シリコン基板をエッチングするために使用できるフッ素基が減少するからである。
また、生じる可能性のある他の欠点としては、ポリマー形成化合物を生じさせる、プラズマ中に存在する不飽和種が好ましくは、あるマスク材料をエッチングし、したがって、選択性、すなわち、シリコン・エッチング速度とマスク・エッチング速度との比を低下させたときに生じる。さらに、非一様な側壁保護が行われた場合、側壁のマスク縁部でポリマーが直接、より厚くコーティングされ、したがって、側壁は、構造の徐々に大きくなるエッチング深さにおいてではなくこの領域において適切に保護される。
この場合、深さが大きくなるにつれて側壁のポリマー被覆が急速に減少し、側壁でアンダカットが起こり、その結果、ボトル状のエッチング形状が生じる。
フッ素ベースの反応性ガスを使用する代わりに、塩素や臭素など他のハロゲンをベースとする反応性ガス、またはプラズマ中で塩素または臭素を放出する反応性ガスを使用することが提案されている。というのは、これらのガスは、シリコン表面上でそれほど反応しないからである。
反応性ガスから得られる基、通常は、プラズマ中で形成される、例えばSF6、C4F8、NF3から生成されるフッ素基は、シリコンに対する著しく高い自然反応を示し、まずエッチングを起こし、同時にイオンを支持する。容量結合された低RF電極では、電極上でグランドに対して負の自己誘導DCバイアス電位が生じることが一般に知られている。したがって、イオンがシリコン基板に事実上垂直に当たるので、これらの反応性ガスは、主として構造の底部のみをエッチングし、構造の側壁をエッチングしないという利点を与える。しかし、これらの反応性ガスの反応は、水分の影響を非常に受けやすいという欠点が存在する。
この場合、リアクタ内のシリコン基板に高価な移送装置が必要であるだけでなく、エッング・システム全体の漏れ率を極めて低い値に維持しなければならない。リアクタ内にごくわずかな水分が発生した場合でも、局所的なシリコン酸化のためにシリコン・エッチングの底部で微細粗さが生じ、したがって、エッチングが完全に破壊される。
米国特許第4528066号 米国特許第5501893号
本発明の一目的は、既知の従来技術の欠点を解消することである。具体的には、一目的は、シリコン・オン・インシュレータ界面のアンダカットを実質的に抑制する利点を与える、イオン密度が低減される異方性反応性イオン・エッチング法を開発することである。
本発明の一局面において、この目的は、下側の絶縁酸化層の実質的なエッチングが回避される、シリコン基板表面をエッチングする方法を提供することによって実現される。この方法は、「仕上げ」エッチングが行われるクリアリング・フェーズとして働く異方性プラズマ反応性イオン・エッチングを含む。
本発明の目的はまた、重合付着工程を反応性イオン・エッチングと組み合わせる本発明の他の局面によって実現される。エッチング種をプラズマ中のポリマー形成化合物と組み合わせることが可能である。あるいは、本発明の方法においてエッチングと重合を連続的に行うことが企図される。その結果、シリコン・オン・インシュレータ基板上にアンダカットがほとんどないか、あるいは全くない垂直な縁部を有する深い構造(例えば、トレンチ)が形成される。
本発明の他の目的、特徴、利点は、以下の好ましい態様を検討することによってさらに明らかになると思われる。
表面微細形状の性質による1つの可能な電荷分布構成を示す、トレンチの断面図である。 シリコン・酸化物界面に沿った電荷の蓄積が示された、トレンチを示す図である。 下側の酸化物の、キャパシタとしての効果および充電との関係を表わす電気回路図である。 8.5分間のエッチングの後に幅が4μm以上のトレンチの、シリコン/酸化物界面で起こるアンダカットを示すSEM写真である。 2つの異なる低RIE電力に対するラグ%とトレンチ幅との関係を示すグラフである。 右側の2つのトレンチが酸化物までエッチングされており、かつアンダカットの兆候が見られないSEM写真である。変化するエッチング深さからラグが明白である。より幅の狭いトレンチはより大きなラグを示している。 側壁が図6と比べてより直線的であり、かつアンダカットがほとんど存在しない、2μmないし10μmのトレンチ幅の範囲を示すSEM写真である。
好ましい態様の説明
本発明者らは、SOI構造のシリコン・酸化物界面でのアンダカットを低減させるうえで、イオン密度が重要な因子であることを発見した。シリコン基板にはn型基板およびp型基板と、他のシリコン・ブレンド基板を含められることを理解されたい。低減されたイオン密度を実現するために発明者によって開発された方法は、エッチングのクリアリング・フェーズ中にプラズマ・エッチング技法を使用する方法である。すなわち、エッチングの大部分は、当業者に既知の任意のエッチング技法によって行われ、次いで、本発明の低イオン・プラズマ・エッチング技法を使用してクリアリング・エッチングが行われる。本発明者らは、イオン密度を約109イオン/cm3よりも低い値に低下させることによってアンダカットが実質的になくなることを発見した。速度は減少するが、アンダカットは低減するはずである。この趣旨は、トレンチに入るイオンの数を減少させ、それによって、トレンチ絶縁体底部に見られる電荷の蓄積をなくすか、あるいは低減させることである。本発明者らは、RIE工程によってイオン密度を低下させることが可能であると判定した。
アスペクト比がより高い微細形状からシリコンのバルクを除去した後、パッシベーションを優先し、かつエッチングの腐食性を低下させる工程条件を使用して、エッチングの残りの部分が行われる。この技法は、上述の酸化物/シリコン界面での充電構造を変更せず、その代わり、オーバカットの程度を厳密に制御する能力に依存する。
本発明のクリアリング・フェーズが、プラズマ・エッチング工程、好ましくは反応性イオン・エッチング(RIE)を含み、その工程条件がパッシベーションを優先し、かつエッチングの腐食性を低下させる。クリアリング・フェーズまたは「仕上げ」エッチングを使用することは、絶縁体界面までエッチングするために必要である。プラズマ・エッチングを使用する際、ラグが生じる可能性がある。ラグとは、トレンチ・アスペクト比が大きくなるにつれてエッチング速度を低下させる、様々なサイズのトレンチ/穴における拡散制限条件である。本発明のプラズマ・エッチング工程を実施する前に行われるエッチングの大部分は、イオン密度が高いときには等方性であり、したがって、絶縁体層に垂直であり電荷蓄積を制限する直線的な側壁を製造するには、低イオン密度で凹部を垂直に(異方性に)エッチングするプラズマ・エッチング(RIE)が必要である。
初期エッチングを行う1つの方法は、Boschによって記載されたように、循環エッチングおよび重合付着工程を含む。Bosch工程の後で本発明の工程を実施する際、プラズマ・エッチング(RIE)を使用してベースからポリマーが除去される。これは、ベースからポリマーを除去する速度の方が側壁からのポリマー除去速度よりも大きいためである。
重合付着工程の間に、エッチングベースに塗布されるポリマー層は、その後に続く反応性イオン・エッチングのクリアリング・フェーズの間に急速に破壊される。これは、ポリマーが非常に急速に剥離され、イオンが支持されるとともに、反応性プラズマ種とシリコンとの化学反応がエッチングベース上で進行することができるためである。
クリアリング段階の反応性イオン・エッチングの間に、構造の側壁がエッチングされ、残りの部分は、重合段階中に塗布されたポリマーによって保護される。
本発明者らは、1つの理論に拘束されることを望んではいないが、以下のメカニズムによって電荷蓄積が起こると考える。
容量結合された低RF電極を有するプラズマ・エッチングシステムでは、電極上でグランドに対して負の自己誘導DCバイアス電位が生成される。ポアソン(Poisson)の方程式によって、電荷分離のためにバイアスが生じる。負にバイアスされた電極の場合、このバイアスが生じるためには、この電極/ウェハ上に電子が蓄積しなければならない。時間平均条件の下では、電子の蓄積量は、表面に対して加速されるイオンの数が、表面に到達する電子の数に等しくなるほど、イオンに対する吸引力が大きくなるまで増大する。言い換えれば、ウェハまたは電極への正味DC電流は零である。この因子は、容量結合された電極に関する制約の1つである。過渡電流は存在するが、定常状態DC電流は存在しない。本発明者らは、アンダカットを発生させるのは定常状態中のこのような過渡電流であると考える。
平坦な導電シリコン・ウェハ表面の場合、ウェハ表面を横切る電荷分布はかなり一様であり、すなわち、表面を横切るDC電位は定常状態条件の下では一様である。ウェハを横切る電荷分布が一様であるため、ウェハに向かうイオン加速度は一様である。いくつかの表面が導電性を有し、それに対して他の表面が絶縁体になることが知られている。電荷分布は、表面の特性に応じて表面を横切って変動する。したがって、電界は変動する。準中性条件の下では、ウェハへの正味零DC電流はシリコン微細形状に対して定常状態を維持する。しかし、過渡的な局所電荷蓄積段階中に、局所DC電位が生じる。このような局所電位変動は、シリコン・オン・インシュレータ界面のアンダカットを発生させる変動である。
図1は、表面微細形状を特徴とする1つの可能な電荷分布構成を示している。これは、トレンチの断面を表わしている。シリコンおよびフォトレジストの側壁に沿って負の電荷がある。この負の電荷は、将来トレンチに入る電子に対する電位バリヤを形成する。トレンチの幅が狭ければ狭いほど、電界結合、したがってバリヤは強力になる。
バリヤが強力になればなるほど、電子がトレンチを貫通できる可能性は低くなる。一方、この電荷分散はイオンに対するポテンシャル井戸を形成する。イオンはトレンチに容易に進入する。しかし、この場合、不均衡が存在し、電子よりも多くのイオンがトレンチ、特に、電子バリヤがより大きいより小さなトレンチに入る。このようなイオンは、各壁の方への吸引力を「受ける」が、いくつかのイオンはトレンチの底部への吸引力を生じさせる。トレンチの底部が絶縁体、例えばSiO2である場合、イオン電荷が漏れるまたは放出する可能性はなく、したがって、正の電荷が蓄積される。これは、酸化物界面でシリコン・アンダカットが起こる可能性が高い状況である。側壁の負の電荷による吸引力と、トレンチの底部での正電荷蓄積による反発力がある。吸引力と反発力は共に、図2に示すように、将来のイオンの、シリコン壁底部に至る経路を湾曲させる。したがって、このようなイオンは、シリコン壁底部に衝突し、シリコンと絶縁体層との間にアンダカットを形成する。
電荷蓄積の速度および量は、アンダカットの程度に影響を与える重要な因子である。蓄積は、イオン電流密度Ji、酸化物厚さtox、酸化物誘電定数Eox、およびアスペクト比(th/tw)を含むがこれらに限定されることはない多数の因子に依存する。 Jiは、本発明の工程によって調節することができる。単位面積当たり電流を表わすために使用されるJi、すなわちイオン電流密度項を調節する因子がある。電流密度は次式のように表わされる。
(数1)
Ji=enivi (1)
式中、「e」は電荷であり、viはイオン速度であり、niはイオン密度である。したがって、電荷、イオン速度、およびイオン密度を変化させることによって、イオン電流密度に影響が与えられる。
平均イオン速度は、次式のように、電極の上のシースを横切る、平均DCバイスVbおよびプラズマ電位φpから算出することができる。
(数2)
Figure 2010283362
viについて解き数式1に代入すると、数式3に示すように、ウェハ表面に到達するイオンの平均電流密度に関する数式が得られる。
(数3)
Figure 2010283362
数式3は、3つの主要因子が電流密度に影響を与えることを示している。最も大きな影響を与えかつ最も容易に変化する因子は、イオン密度niである。これは、イオン密度が、イオン電流に正比例し、かつ高密度源の関数であり、すなわち、本発明の工程におけるイオン種の主要な生成要素の関数であることによる。低RF電力もイオン密度に寄与するが、高密度源よりも程度は低い。調節性に関する2番目に重要な因子はDCバイアスVbである。このバイアスは、低RF電力、工程における圧力、ガス種、および周波数を含め、多数のパラメータの関数である。しかし、イオン電流は、このバイアスの平方根として変化するに過ぎないが、イオン密度の線形関数であった。したがって、この因子を変化させることによる調節の程度は低い。検討すべき第3の因子は、充電される種の質量miである。プラズマ処理時に多数の基が存在するが、質量値は、エッチング液ガスとガスを細分するICPの能力とに応じて変化する可能性がある。イオンの質量を大きくすると電流密度が低くなり、イオンの質量を小さくすると電流密度が高くなる。質量値は、バイアスと同様な平方根関数であるので、調節するのは容易ではない。
上記の議論は主として定常状態の状況に関する議論であるが、電荷が蓄積し、そのためシリコン・オン・インシュレータ界面のアンダカットが起こる過渡状況もある。
図3の電気回路は、下側の酸化物の、キャパシタとしての効果、および充電との関係を表わしている。図3は、トレンチが酸化層までエッチングされた場合の電気特性を示している。抵抗Rtは、イオン種がトレンチを横切り酸化物界面に到達するまでに起こる衝突の数を表わす。Rtは、トレンチの幅、長さ、および深さの関数である。衝突が数が多くなればなるほど、Rtの値が高くなり、衝突が数が少なくなればなるほど、Rtの値が低くなる。Rsiは、壁の底部からシリコン表面までのシリコン・ビームの抵抗を表わす。Rowは、酸化物表面と壁の底部との間の抵抗を表わす。Coxは、トレンチの底部での酸化物の関連するキャパシタンスである。このキャパシタンスは、酸化物の厚さ、領域、および誘電定数に依存する。Rbは、ウェハのバルクにおける酸化物の下の関連する抵抗である。DCバイアス電圧Vbは負であり、ウェハ表面での測定バイアスを表わす。実際には、バイアスは電極で測定され、したがって、測定およびクランプ方式に応じ、すなわち、機械的クランプであるか、ESC(静電チャック)であるか、または単なる熱接触であるかに応じて、ウェハ表面でのバイアスと電極でのバイアスには何らかの差が生じる。電極がシリコン・ウェハ表面と同じ電位であることを理解されたい。しかし、すべての電圧はグランドを基準にしている。回路上の最後の電圧はVoxである。この電圧は正であり、酸化物表面上のトレンチの底部で測定される最後の電圧または定常状態電圧である。
シースを横切ってウェハ表面に到達するイオンは、平均総エネルギーφp−Vbを有する。これは、ウェハ表面でのイオンの平均開始エネルギー、すなわち、壁、トレンチ内の他の種、および酸化物表面との衝突によって失われるエネルギーである。平均イオンは、図2および図3を見るとわかるように、開始時にフォトレジストに衝突するか、あるいはトレンチに進入する可能性がある。イオンは、トレンチに進入する場合、シリコン壁表面に十分に近いときは、この表面と再結合することができる。イオンは、中央を横切る場合、下向き経路内での衝突後にも残るほどのエネルギーを有する場合には、酸化物表面に到達することができる。酸化物表面上に存在する正電荷の量が少ないとき、新しいイオンの偏向または反発はほとんど起こらない。時間の経過と共に、底部に至るこの経路に残ったイオンが連続的に電荷蓄積に追加される。電荷蓄積が、それ以上のイオンが酸化物表面から反発される点に到達すると、Rowによってシリコン壁へのイオン電流成分が増大する。これは、正の酸化物と負に充電されたシリコン壁との間の電位差が大きいために起こる。戻り経路は、抵抗Rsiによる、ビームを介した壁表面への電子流の増大として具現化するシリコン壁表面での再結合に過ぎない。あらゆるトレンチに2枚の壁があるので、実際には2つの戻り経路がある。Rsiはこれらの経路の結合抵抗を表わす。
要するに、電荷蓄積は3つの因子に依存する。1つ目は充電電流であり、2つ目はトレンチ内でのイオンの衝突であり、3つ目は壁表面との再結合である。
酸化物表面にあるトレンチの底部での電圧は、図3に示すVox値に対して時間と共に指数関数的に増大することを示すことができる。この増大速度はReffおよびCoxの値に依存する。この2つの積は、システムの時定数を与える。時間の関数としての電圧V(t)を数式(4)に与える。
(数4)
Figure 2010283362
式中、
(数5)
Figure 2010283362
および
(数6)
Figure 2010283362
である。
理論上、時間零(t=0)のとき、数式(4)は、開始電圧がゼロになり、V(t)が、時間の経過と共にVoxの値に近づくことを示す。Voxは、数式4bに示すようにプラズマ電位ΦpおよびバイアスVbの関数である。前述のように、Φpの調節は困難であるが、Vbは調節することができる。したがって、蓄積電圧Voxを制限する1つの手段は、バイアスを低下させることである。零Vbが理想的であるが、数式(3)に示すように、零Vbは、ウェハ表面へのイオン電流がないことを意味する。イオン電流はエッチングに必要であるが、発明者は、酸化物界面での大きなVoxの蓄積が回避されるようにイオン電流を調節できることを発見した。Voxを蓄積させるのに必要な時間は、ReffとCoxの積によって調節される。数式(5)は、酸化物の形状およびCoxとの関係を示している。
(数7)
Figure 2010283362
酸化物の露出された領域は、数式(5)では「A」で表わされており、トレンチの幅と長さの積である。酸化物の誘電定数はεoxによって与えられ、酸化物の厚さはtoxによって与えられる。したがって、時定数を大きくする1つの方法は、Cox値を大きくすることである。数式(5)は、酸化物の厚さを小さくし、誘電定数を大きくし、面積を大きくすることによってCox値を大きくするための関係を示している。トレンチが同じ深さを有するが、様々な幅を有する場合、トレンチの幅が小さければ小さいほどキャパシタンスが低くなり、したがって、充電が高速になる。理論上、工程条件が同一である場合、より小さなトレンチは、より大きなトレンチよりも高速なアンダカットを示す。時定数はReffにも依存するので、抵抗を大きくすると、充電時間が長くなり、アンダカットが低速になる。数式(4a)は、バルク・シリコン抵抗Rbを高めるべきであることを示唆している。言い換えれば、比抵抗のより高いシリコンはアンダカットを低減させる。また、Rt、Rsi、およびRowを大きくするとアンダカットが低減する。RbおよびRsiは算出することができるが、RtおよびRowは未知である。これらの大きさは、RsiおよびRbが、酸化物を充電するのにかかる時間と、Voxの値とに対して大きな影響を与えるかどうかを判定するうえで重要である。上記の説明ではトレンチについて説明したが、当業者には、この説明を他の構造にも同様に適用できることが容易に明らかであると思われる。
クリアリング段階中に印加されるRF電力の量は、約5Wから500Wであり、好ましくは約50Wから200Wであり、最も好ましくは約80Wから150Wである。エッチング液ガスの流量は約100sccmから200sccmであり、高分子ガスの流量は約0sccmから100sccmであり、最大エッチング液・ポリマー形成化合物比は2:1である。エッチング工程中の圧力は約1mTから500mTであり、好ましくは約20mTから50mTである。エッチング工程中の温度は約15℃から25℃であるが、液体N2温度(−177℃)と同程度に低くすることができる。以下の例は例示的なものに過ぎないが、RIE段階中に、基板にのみRF電力が印加されることを理解されたい。
比較例
図4は、標準的な8.5分間のエッチングの後、幅が4μm以上のトレンチのシリコン/酸化物界面で起こるアンダカットを示すSEM写真である。この例では、3段階循環工程を含み、エッチング工程および重合付着工程の交互の段階が存在する、米国特許第5501893号で開示された標準Bosch工程が使用された。エッチング工程は約2秒から6秒にわたり、圧力約23mT、温度約15℃で、約825Wの電力を印加することによって行われ、この場合、反応性ガスのガス流量は約50sccmから100sccmであり、不活性ガスのガス流量は40sccmである。重合付着工程は約5秒にわたり、圧力約22mT、温度約15℃で、約825Wの電力を印加することによって行われ、この場合、反応性ガスのガス流量は約70sccmであり、不活性ガスのガス流量は約40sccmである。酸化物の厚さ(20000Å)はtoxで表わされる。アンダカットは、2μmのトレンチを形成を試みている間に起こった。3μmのトレンチ、すなわち、右から3番目のトレンチは、酸化物界面に到達していないが、シリコン内にアンダカットの兆候を示していない。4μmのトレンチ、すなわち、3μmトレンチの左側のトレンチはすでにアンダカットの兆候を示している。このアンダカットは、より小さなトレンチがより大きなトレンチと比べてどれだけ高速に充電されるかを示している。露出された酸化物領域がより小さくなるので、関連するキャパシタンスはより低くなる。同じ充電電流を使用すると、より低いキャパシタンスはより高速に充電され定常状態に達する。充電によって、壁表面に対するオフアクシス(off axis)・イオン衝突および再結合が起こる。
シリコン基板をエッチングする際、検討すべき1つの因子は、より小さな微細形状をエッチングする際に示される固有のラグである。ラグの量を理解するために、図5は、2つの異なる低RIE電力に対するラグ%とトレンチ幅との関係を示すグラフを示している。比較のため、ラグはすべて100μmのトレンチ幅を基準にしている。9W RIE曲線(黒いダイヤモンド)を見ると、ラグが12%である20μmの穴は、100μmの穴よりもエッチング速度が12%低い。測定は、ラグが40%をわずかに超える2μmの穴まで行った。より低いRIEワット数を使用するとラグが低減される。RIEによってラグをある程度調節することが可能であるが、十分ではなく、したがって、顕著な量のエッチングを実現するエッチング工程を適切に終了するための選択が慎重に行われる。RIEのエッチング速度は、高密度源よりも約2桁低いイオン密度を有し、したがって、エッチング速度は低くなる。数式(4b)に示すように、バイアスVbはトレンチ内の電圧蓄積Voxに寄与する。したがって、バイアスはイオンがウェハ表面に到達できるようにするのに十分ほど高くなければならない。したがって、イオン密度が低くなったときは、他の場合に高密度源によって得られるよりも高いバイアスを使用することができる。したがって、ラグの低減は、本発明によって生じる予期されていない利点の1つである。
他の重要な因子は、マスク/レジスト層の選択性である。バイアスを高くすると一般に、物理的衝突のためにレジストが急速に劣化し、したがって、バイアスの調節が選択性に影響を与える。
以下の例は、3つの循環段階が実行される標準Boschを初期エッチングに使用する実施例である。エッチング工程は約2秒から6秒にわたり、圧力約23mT、温度約15℃で、約825Wの電力を印加することによって行われ、この場合、反応性ガスのガス流量は約50sccmから100sccmであり、不活性ガスのガス流量は40sccmである。重合付着工程は約5秒にわたり、圧力約22mT、温度約15℃で、約825Wの電力を印加することによって行われ、この場合、反応性ガスのガス流量は約70sccmであり、不活性ガスのガス流量は約40sccmである。この初期エッチング工程の後で本発明のエッチング工程が行われる。RIE工程は約4分間にわたって行われ、圧力約25mTから35mT、温度約20℃で、約80Wのバイアスが基板に印加され、この場合、反応性ガスのガス流量は約200sccmである。本発明の工程は、循環エッチングおよび重合付着工程を含むことができる。この重合付着工程は約5秒にわたり、圧力約25mT、温度約15℃で、約825Wの電力を印加することによって行われ、この場合、反応性ガスのガス流量は約70sccmであり、不活性ガスのガス流量は30sccmである。
実施例1
図6は、標準Bosch工程と、その後に続く、エッチング工程のクリアリング・フェーズを包含する非循環RIE工程の結果を示している。図6の右側の2つのトレンチは酸化物層までエッチングされており、アンダカットの兆候はない。このことは、好ましいRIEのみのエッチング手法は、酸化物/シリコン界面でのアンダカットをなくす能力を有することを示している。したがって、RIE手法は広範囲のアスペクト比を有する基板に適している。
Bosch工程などの工程を使用して酸化物界面に連続的にエッチングする能力は、アンダカットを防止する工程のタイミングが重要であるので、適切なエンドポイント検出器を必要とする。このようなエンドポイント検出器は当業者に公知である。
本発明の他の態様において、本発明者らは、イオン密度を低くすることによってアンダカットを低減させる働きをするRIEがポリマー付着段階と組み合わされ、それによって、壁に対するポリマー付着が増大しアンダカットを防止する働きをするエッチング工程を開発した。この技法を使用することによって、RIEラグをなくすことが可能になる。しかし、この工程を使用する際、所望の微細形状を十分にエッチングするのに必要な時間が長くなる。トレンチ幅が小さくなればなるほど、トレンチを形成するのに必要な時間が長くなる。しかし、最大トレンチと最小トレンチの比が小さい場合、オーバーエッチング時間は短くなる。
実施例2
上述の技法を使用して、本発明の工程のこの局面を実証するための実験を行った。トレンチ幅は2μmから100μmまでの範囲であった。これらのランのうちの1つの結果を図7に示す。エッチングの大部分はBosch工程に従って行われ、その後に、循環付着およびエッチング工程を含む本発明のエッチング工程が行われる。図7は、2μmから10μmの範囲のトレンチ幅を示している。図7に示す側壁は、図6と比べてより直線的である。オーバーエッチング時間が長い場合でも、アンダカットはほとんど存在しない。この技法が成功するかどうかは、本発明のエッチング工程に切り替えて残りのシリコンを「仕上げ」エッチングとしてエッチングする前に、当業者に公知の標準エッチング工程による、より大きなトレンチを形成する能力に依存する。
本発明の一態様では、Bosch工程に従って初期プラズマ・エッチング段階が行われ、この場合、飽和種と不飽和種の特定の比、すなわち、フッ素基とポリマー形成化合物の特定の比を考慮する必要はなく、したがって、工程全体の異方性に悪影響を与えずに実際のエッチング段階をエッチング速度および選択性に対して最適化することができる。初期プラズマ・エッチング段階および重合段階の後で、低減されたイオン電流密度を有する本発明のRIE工程が行われる。
本発明の代替態様では、初期プラズマ・エッチング工程の間に、およびBosch工程に従った重合工程の間に、シリコン基板にイオン・エネルギーが衝突する。イオン・エネルギーとのこの同時衝突によって、エッチングベース上にはポリマーが全く形成されていないか、あるいは実質的に全く形成されず、したがって、従来必要とされている、エッチングベース上でのポリマー層の分解が必要とされないので、初期エッチング段階中により高いエッチング速度を実現することができる。低イオン・エネルギーのこの衝突によって、顕著なマスク選択性および異方性を実現することができる。
初期エッチング工程時などに高いエッチング速度のために起こる、フッ素基とシリコンとの強い発熱反応によって、シリコン基板はかなり高温になる。したがって、シリコン基板は、好ましくはヘリウム・ガス流によって、エッチング工程中に冷却することが好ましい。高温によってポリマー付着層およびマスク層のエッチングが推進されるときに基板を冷却することが好ましい。
実質的なアンダカットなしに、鋭い垂直縁部を有する、トレンチなどの深い構造を作製するように「仕上げ」エッチングを完了するには、前述のようにクリアリング段階で低イオン密度を維持する必要がある。イオン・エネルギーが高いと一般に、分散または剥離され、次いで制御されずに再付着した材料の反応が干渉される。しかし、シリコン基板に作用するイオンのエネルギーは、平滑なエッチングベースが得られるように、構造ベースに堆積物が蓄積しないようにするのに十分なエネルギーでなければならない。
シリコン基板がすでに重合中に低イオン・エネルギーと衝突している場合、エッチングベース上にはポリマーがほとんどあるいは全く形成されない。したがって、重合可能なモノマーが側壁上に蓄積し、クリアリング・フェーズに対する特に有効な保護を側壁に施し、それに対して、エッチングベースは被覆されず、あるいは実質的に被覆されないことが好ましい。
どちらの代替態様でも、プラズマ・エッチング段階中のみのイオン効果、またはプラズマ・エッチング段階と重合段階の両方の間のイオン効果と、非常に高い異方性を示し、すなわち、実質的にアンダカットの起こらない事実上厳密に垂直なエッジ形状を有する構造が実現される。
好ましい態様において、低イオン・エネルギーによって異方性エッチングを行うことができる。重合段階の間にエッチングベース上にポリマーが全く付着しないときは、約5eVに過ぎないイオン・エネルギーを使用することができる。構造ベースはプラズマからの堆積物を完全に有さず、それによって最初はエッチングベースの粗さを確立できないようにするために、初期エッチング段階中には、5eVから30eVのエネルギーによるイオン衝突を推奨する。イオンがクリアリング段階中にシリコン基板の方へのみ加速される場合、これらのイオンも、重合段階中に付着するエッチングベース・ポリマーを数秒以内に十分に貫通する。この動作モードでは、エッチング速度におけるマイクロローディング効果がさらに低下する。
フッ素基とシリコンの自然反応速度が高いので、シリコン・エッチングは基本的にイオンの支持を必要としない。
シリコン基板に異方性エッチングを施すための本発明の好ましい態様は、以下のように実施される。
シリコン基板をパターン化し、エッチングマスク、例えばフォトレジストでコーティングした。このエッチングマスクは、異方性エッチングを施すことになっているシリコン基板の領域を空けておくマスクであり、このエッチングマスクに第1のエッチング段階を施す。当業者に公知のフォトレジスト以外のエッチングマスクも本発明の範囲内であることを理解されたい。
SF6、NF3、およびCF4などのフッ素化ガスと、アルゴンArなどの不活性ガスとの混合物を、ガス流量が0sccmから500sccmであり、処理圧力が5mTから100mTである初期エッチングに使用することができる。バルク・エッチングまたは初期エッチングに関するプラズマ生成は好ましくは、出力300Wから1200W(最大約2.45GHz)のRF励起またはその他の高密度源によって行われる。
同時に、イオンを加速するための基板RF(無線周波数)バイアスを基板電極に印加する。基板バイアスは好ましくは5Vから100Vであり、出力2Wから20Wの高周波数電源(13.56MHz)によって得ることができる。
初期エッチング中に、フッ素化ガスと不活性ガスの混合物中での放電の助けによってリアクタ内で、化学反応種および充電された粒子(イオン)が生成される。
このように生成された正に充電された陽イオンは、基板電極に印加されたRFバイアスによってシリコン基板の方へ加速され、エッチングマスクによって空けられている基板表面上にほぼ垂直に落下し、反応性プラズマ種とシリコンの化学反応を推進する。
このエッチングは、約5μmから約500μmのエッチング深さを得るための期間にわたって行うことができる。凹部の絶対深さは、エッチングすべき基板の厚さおよび所望のエッチングの量に依存する。
重合付着工程では、例えば、CHF3などのフッ素化ガスとアルゴン(Ar)の混合物を使用することができ、適切な周辺群を有する他の過フッ素化芳香族物質、例えば過フッ素化スチレン様モノマーやエーテル様フッ素化合物を使用することもできる。
以前のエッチング時に露出された表面、すなわち、エッチングベースおよび側壁は、重合中にポリマーで覆われる。このポリマー層は、エッチング縁部またはエッチング表面上にエッチングストップを形成する。
重合段階でエッチング縁部に塗布されたポリマーは、反応性イオン・エッチング段階を含む後続のクリアリング・フェーズ中に再剥離される。初期エッチング段階時に露出された縁部は、反応性イオン・エッチング段階中に、ポリマー層によってさらなるエッチング腐食から保護される。反応性イオン・エッチング工程では、F2、SiF4、C2F6、MoF5、WF6、XeF2、SF6、C3F8、NF3、CHF3、およびCF4などのフッ素化ガスとアルゴンArなどの不活性ガスとの混合物を使用することができる。クリアリング・エッチングは、循環的に繰り返し行うことができ、すなわち、クリアリング・エッチングの後で、さらなるエッチングを含む重合付着を行うことができる。クリアリング・エッチングと共に循環的に繰り返される重合付着は、フッ素化ガス、例えば、CHF3、CH3F、C2H2F2、C2H2F4、C3F8、およびC4F8を使用する。ガス混合物は流量が約0sccmから250sccmであり、好ましくは約0sccmから100sccmである。出力が好ましくは300Wから1200Wのときに、マイクロ波、ICP、TCP、ヘリコン、ECR、またはその他の高密度励起源。
放出されたモノマーが再び互いに直接連続するように沈降する傾向があり、その肯定的な結果として、クリアリング段階中に追加の局所側壁保護が行われることが知られている。すなわち、エッチングフェーズ中に剥離されたポリマーを側壁に再付着させることができる。モノマーが放出された結果、プラズマ中での重合段階とは別に行われるエッチング段階およびクリアリング段階の異方性が、この効果によって著しく高められる。
重合段階は、重合中に、テフロン様ポリマー層が側壁またはエッチングベース上に沈降するのに十分な長さになるように選択される。ポリマー層の厚さは、約1nmから100nmであり、好ましくは10nmから75nmであり、最も好ましくは約40nmから50nmである。上記の厚さのポリマーを基板に付着させるには約2秒ないし60秒の時間が必要である。
使用されるすべての媒体において、高密度の反応性種と、生成されたイオンが基板に到達する、低いが厳密に調節可能なエネルギーを有するイオンとを実現することが重要である。
当業者には、ここに開示された本発明の明細書および実施例を検討することによって、本発明の他の態様が明らかであると思われる。明細書は例示的なものに過ぎないとみなされるものであり、本発明の真の範囲および趣旨は、特許請求の範囲によって示されている。また、ここで引用されたすべての文献は、参照として本明細書に特に組み入れられている。

Claims (19)

  1. 反応性イオン・エッチングによってシリコン基板をエッチングする段階を含む、シリコン基板のエッチングの間にシリコン・絶縁体界面でのアンダカットを防止する方法であって、イオン密度が低減され、それによって、エッチング中に形成される側壁の垂直エッチングが行われる方法。
  2. 少なくとも1つのポリマー形成化合物をプラズマに導入することによる重合をさらに含み、シリコンの露出された表面上に化合物が付着し、それによって一時的なコーティング層が形成される、請求項1記載の方法。
  3. 反応性イオン・エッチングおよび重合が循環的であり繰り返される、請求項2記載の方法。
  4. 以下の段階を含む、シリコン基板のエッチングの間にシリコン・絶縁体界面でのアンダカットを防止する方法:
    a)反応性エッチングガスをシリコンに接触させ、シリコンの表面から材料を除去し、エッチングされた表面を製造することによる異方性プラズマ・エッチング;
    b)少なくとも1つのポリマー形成化合物を重合し、シリコンの露出された表面上に付着させ、それにより、この化合物をプラズマに導入することによって一時的なコーティング層を形成する段階;および
    c)シリコンの表面に衝突する反応性イオンがプラズマ中に生じる、約109イオン/cm3より少ない低減されたイオン密度を有する反応性イオン・エッチング。
  5. エッチング(a)が、プラズマ中に実質的にポリマー形成化合物を含まずに行われる、請求項4記載の方法。
  6. エッチング(a)によって形成された横方向に画定された凹部構造に付着(b)時に塗布されたポリマーが、反応性イオン・エッチング(c)中に部分的にエッチングされる、請求項4記載の方法。
  7. 反応性エッチングガスが、六フッ化イオウとアルゴンの混合物である、請求項4記載の方法。
  8. 第1のエッチング段階が、シリコンの表面から材料を事前に選択された深さまで除去し、かつ該第1のエッチング段階が、事前に選択されたエッチング深さを与える期間にわたって行われる、請求項4記載の方法。
  9. 反応性イオン・エッチング(c)で使用される反応性イオンが、F2、SiF4、C2F6、MoF5、WF6、XeF2、SF6、C3F8、NF3、CHF3、およびCF4から成る群より選択される、請求項4記載の方法。
  10. シリコン表面が、プラズマ・エッチングの前にパターン化される、請求項4記載の方法。
  11. エッチング(a)および付着(b)が、反応性イオン・エッチング(c)を行う前に交互に繰り返される、請求項4記載の方法。
  12. 反応性イオン・エッチングの後に追加の重合工程をさらに含む、請求項4記載の方法。
  13. 追加の重合工程および反応性イオン・エッチングが循環的であり繰り返される、請求項12記載の方法。
  14. 約109イオン/cm3より少ないイオン電流密度を実現するのに十分なイオン速度、イオン密度、イオン質量、バイアス、電力、および圧力での反応性イオン・エッチングの方法。
  15. 供給される電力が約5ワットから500ワットの範囲である、シリコン基板に低密度電源を印加する段階をさらに含む、請求項1記載の方法。
  16. 供給される電力が約5ワットから500ワットの範囲である、反応性イオン・エッチング(c)の間にシリコン基板に低密度電源を印加する段階をさらに含む、請求項4記載の方法。
  17. エッチングの間に使用されるガスの流量が約100sccmから200sccmであり、かつ重合の間に使用されるガスの流量が約0sccmから100sccmである、請求項1または4記載の方法。
  18. エッチング工程、重合工程、および反応性イオン・エッチング工程の圧力が約1mTから500mTである、請求項1または4記載の方法。
  19. 工程の温度が約15℃から25℃である、請求項1または4記載の方法。
JP2010157388A 1998-06-08 2010-07-12 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程 Pending JP2010283362A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US8846098P 1998-06-08 1998-06-08
US09/127,762 US6071822A (en) 1998-06-08 1998-07-31 Etching process for producing substantially undercut free silicon on insulator structures

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2000553985A Division JP2002518825A (ja) 1998-06-08 1999-06-08 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程

Publications (1)

Publication Number Publication Date
JP2010283362A true JP2010283362A (ja) 2010-12-16

Family

ID=26778680

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000553985A Pending JP2002518825A (ja) 1998-06-08 1999-06-08 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程
JP2010157388A Pending JP2010283362A (ja) 1998-06-08 2010-07-12 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2000553985A Pending JP2002518825A (ja) 1998-06-08 1999-06-08 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程

Country Status (5)

Country Link
US (1) US6071822A (ja)
EP (1) EP1110235A1 (ja)
JP (2) JP2002518825A (ja)
KR (1) KR100613842B1 (ja)
WO (1) WO1999065065A1 (ja)

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
AU2114001A (en) * 1999-10-15 2001-04-23 California Institute Of Technology Formation of smooth vertical surface on an optical component
US6593244B1 (en) * 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
KR100378353B1 (ko) * 2001-03-12 2003-03-29 삼성전자주식회사 Rie 식각시 발생하는 노칭 저감방법
JP4306149B2 (ja) * 2001-05-28 2009-07-29 株式会社デンソー 半導体装置の製造方法
US6660642B2 (en) 2001-07-25 2003-12-09 Chartered Semiconductor Manufacturing Ltd. Toxic residual gas removal by non-reactive ion sputtering
JP4117450B2 (ja) * 2002-03-18 2008-07-16 株式会社デンソー 半導体装置の製造方法
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US7052117B2 (en) 2002-07-03 2006-05-30 Dimatix, Inc. Printhead having a thin pre-fired piezoelectric layer
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
DE10246063A1 (de) * 2002-10-02 2004-04-22 Robert Bosch Gmbh Verfahren zum anisotropen Ätzen eines Siliziumsubstrates
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7588948B2 (en) * 2003-04-17 2009-09-15 X-Fab Semiconductor Foundries Ag Test structure for electrically verifying the depths of trench-etching in an SOI wafer, and associated working methods
DE10317748B4 (de) * 2003-04-17 2008-10-30 X-Fab Semiconductor Foundries Ag Verfahren zur Überprüfung von Isoliergrabenätzungen in SOI-Scheiben mittels einer Teststruktur
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US7081407B2 (en) * 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US8491076B2 (en) 2004-03-15 2013-07-23 Fujifilm Dimatix, Inc. Fluid droplet ejection devices and methods
US7281778B2 (en) 2004-03-15 2007-10-16 Fujifilm Dimatix, Inc. High frequency droplet ejection device and method
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
JP4629421B2 (ja) * 2004-12-06 2011-02-09 パナソニック株式会社 ドライエッチング方法及びドライエッチング装置
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
EP1836056B1 (en) 2004-12-30 2018-11-07 Fujifilm Dimatix, Inc. Ink jet printing
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
JP4275096B2 (ja) * 2005-04-14 2009-06-10 パナソニック株式会社 半導体チップの製造方法
US20060261436A1 (en) * 2005-05-19 2006-11-23 Freescale Semiconductor, Inc. Electronic device including a trench field isolation region and a process for forming the same
JP4512533B2 (ja) * 2005-07-27 2010-07-28 住友精密工業株式会社 エッチング方法及びエッチング装置
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7491622B2 (en) * 2006-04-24 2009-02-17 Freescale Semiconductor, Inc. Process of forming an electronic device including a layer formed using an inductively coupled plasma
US7670895B2 (en) 2006-04-24 2010-03-02 Freescale Semiconductor, Inc Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer
US20070249127A1 (en) * 2006-04-24 2007-10-25 Freescale Semiconductor, Inc. Electronic device including a semiconductor layer and a sidewall spacer and a process of forming the same
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
JP4812512B2 (ja) * 2006-05-19 2011-11-09 オンセミコンダクター・トレーディング・リミテッド 半導体装置の製造方法
KR100875442B1 (ko) 2006-12-28 2008-12-23 주식회사 래디언테크 웨이퍼 식각 방법
US7988247B2 (en) 2007-01-11 2011-08-02 Fujifilm Dimatix, Inc. Ejection of drops having variable drop size from an ink jet printer
JP5250476B2 (ja) * 2009-05-11 2013-07-31 株式会社日立ハイテクノロジーズ ドライエッチング方法
JP2011100760A (ja) * 2009-11-04 2011-05-19 Ulvac Japan Ltd エッチング方法
US8467221B2 (en) 2010-07-09 2013-06-18 International Business Machines Corporation Magnetic spin shift register memory
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9105705B2 (en) 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8637381B2 (en) * 2011-10-17 2014-01-28 International Business Machines Corporation High-k dielectric and silicon nitride box region
US8691698B2 (en) 2012-02-08 2014-04-08 Lam Research Corporation Controlled gas mixing for smooth sidewall rapid alternating etch process
JP6093929B2 (ja) * 2012-07-04 2017-03-15 サムコ株式会社 高アスペクト比の凹凸構造を有するシリコン基板の製造方法
US9892931B2 (en) * 2013-10-14 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing apparatus and method thereof
CN105448697B (zh) * 2014-07-18 2018-05-01 中微半导体设备(上海)有限公司 高深宽比结构的刻蚀方法及mems器件的制作方法
US11173486B2 (en) * 2019-02-13 2021-11-16 International Business Machines Corporation Fluidic cavities for on-chip layering and sealing of separation arrays
JP7382578B2 (ja) * 2019-12-27 2023-11-17 パナソニックIpマネジメント株式会社 プラズマ処理方法および素子チップの製造方法
US20230335378A1 (en) * 2020-09-18 2023-10-19 Lam Research Corporation Passivation chemistry for plasma etching
JP7257088B1 (ja) 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4528066A (en) * 1984-07-06 1985-07-09 Ibm Corporation Selective anisotropic reactive ion etching process for polysilicide composite structures
KR900013595A (ko) * 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
JP2574094B2 (ja) * 1992-02-27 1997-01-22 株式会社日本製鋼所 エッチング方法
DE4241045C1 (de) * 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH0845903A (ja) * 1994-07-27 1996-02-16 Hitachi Ltd プラズマエッチング方法
JP2996159B2 (ja) * 1995-10-26 1999-12-27 ヤマハ株式会社 ドライエッチング方法
US5854138A (en) * 1997-07-29 1998-12-29 Cypress Semiconductor Corp. Reduced-particle method of processing a semiconductor and/or integrated circuit

Also Published As

Publication number Publication date
EP1110235A1 (en) 2001-06-27
WO1999065065B1 (en) 2000-08-03
WO1999065065A1 (en) 1999-12-16
KR20010052677A (ko) 2001-06-25
WO1999065065A9 (en) 2000-07-06
JP2002518825A (ja) 2002-06-25
KR100613842B1 (ko) 2006-08-17
US6071822A (en) 2000-06-06

Similar Documents

Publication Publication Date Title
JP2010283362A (ja) 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程
JP4601113B2 (ja) 基板の異方性エッチング方法
KR101445299B1 (ko) 반도체 구조물을 에칭하기 위한 펄스화된 샘플 바이어스를 가지는 펄스화된 플라즈마 시스템
US5501893A (en) Method of anisotropically etching silicon
KR100515424B1 (ko) 다양한기판의이방성플라즈마에칭방법
US7517804B2 (en) Selective etch chemistries for forming high aspect ratio features and associated structures
EP0814500B1 (en) Method for etching polycide structures
KR19990030049A (ko) 병 모양 트렌치 형성 방법
EP1350265A1 (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
JP2004247755A (ja) キセノンを用いたプラズマエッチング
EP0814501A2 (en) Method for etching metal silicide with high selectivity to polysilicon
Flamm et al. VLSI Electronics, Microstructure Science, vol. 8
US4784719A (en) Dry etching procedure
JP2728010B2 (ja) プラズマ処理方法
US5759922A (en) Control of etch profiles during extended overetch
WO1987000345A1 (en) Procedure for fabricating devices involving dry etching
JP2728011B2 (ja) プラズマ処理方法
Henry et al. Silicon Etching and Etch Techniques for NEMs and MEMs
JP2874584B2 (ja) プラズマ処理方法
GB2401483A (en) A method of etching porous dielectric
Pang Etching Technology for Microelectronic Materials
Wilson et al. Progress in silicon etching by in-situ dc microplasmas

Legal Events

Date Code Title Description
A072 Dismissal of procedure [no reply to invitation to correct request for examination]

Free format text: JAPANESE INTERMEDIATE CODE: A073

Effective date: 20111205