JP5250476B2 - ドライエッチング方法 - Google Patents

ドライエッチング方法 Download PDF

Info

Publication number
JP5250476B2
JP5250476B2 JP2009114109A JP2009114109A JP5250476B2 JP 5250476 B2 JP5250476 B2 JP 5250476B2 JP 2009114109 A JP2009114109 A JP 2009114109A JP 2009114109 A JP2009114109 A JP 2009114109A JP 5250476 B2 JP5250476 B2 JP 5250476B2
Authority
JP
Japan
Prior art keywords
film
metal
dry etching
plasma
etching method
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009114109A
Other languages
English (en)
Other versions
JP2010263132A5 (ja
JP2010263132A (ja
Inventor
剛志 島
謙一 桑原
朋祥 市丸
賢司 今本
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hitachi High Tech Corp
Original Assignee
Hitachi High Technologies Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi High Technologies Corp filed Critical Hitachi High Technologies Corp
Priority to JP2009114109A priority Critical patent/JP5250476B2/ja
Priority to TW098124871A priority patent/TWI404140B/zh
Priority to KR1020090069302A priority patent/KR101044427B1/ko
Priority to US12/512,103 priority patent/US7989330B2/en
Publication of JP2010263132A publication Critical patent/JP2010263132A/ja
Publication of JP2010263132A5 publication Critical patent/JP2010263132A5/ja
Application granted granted Critical
Publication of JP5250476B2 publication Critical patent/JP5250476B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/26Processing photosensitive materials; Apparatus therefor
    • G03F7/42Stripping or agents therefor
    • G03F7/427Stripping or agents therefor using plasma means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Chemical & Material Sciences (AREA)
  • Drying Of Semiconductors (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

本発明は半導体装置の製造方法に関し、特に金属ゲート電極のドライエッチング技術に関する。
半導体素子におけるゲート絶縁膜は長らくSiO2やSiONのようなシリコン酸化膜系の膜が使用されてきた。しかしながら、パターンの微細化,回路駆動速度の高速化の要求からゲート絶縁膜の薄膜化が進み、近年ではこれらのゲート絶縁膜において薄膜化の限界に達してきた。そこで、等価的ゲート絶縁膜膜厚を同じ膜厚のSiO2ゲート酸化膜の数分の1にすることのできるHfSiOやHfO2のような高誘電体が代替材料として用いられるようになってきた。
しかしながら、これらの高誘電体膜を単純にこれまでのゲート酸化膜の代わりにゲート絶縁膜として使用すると、ゲート電極のポリシリコン膜との界面においてポリシリコン膜の空乏化等のトランジスタ特性を低下させる問題が生じる。しかし、この現象は高誘電体ゲート酸化膜とポリシリコン膜の間に金属層を挟むことで回避でき、実際にこのような金属/高誘電体ゲートの構造が次世代のゲート構造となっている。本技術に関連する公知例として例えば、特許文献1が挙げられる。
一般に金属材料をエッチングするにはハロゲン系ガスが使用される。さらに、これらのエッチングガスと金属材料の反応生成物は揮発性が低いためエッチングが進行しにくい。このため金属材料を加工するには、ウェハ温度の高温化や、処理圧力の低圧化などにより反応生成物の揮発性を上げてエッチングを進行させる必要がある。
また、特許文献2にはポリシリコン膜のメインエッチング後のエッチング処理で発生するサイドエッチ形状を添加ガス(炭化水素)により生成される反応性生物により抑制する技術が記載されている。
特表2008−502141号公報 特開2007−250940号公報
しかしながら、特許文献1の従来技術は、揮発性を上げた条件下で金属材料をエッチング加工すると、先に加工されたポリシリコン膜との反応も促進されるため、ポリシリコン膜にサイドエッチや側壁荒れ等が発生するという問題があった。
また、金属材料をエッチングする際に飛散した金属物質がポリシリコン側壁へ再付着し、最終的に残渣として残るという問題があった。
また、特許文献2の従来技術は、エッチング加工されたポリシリコン膜の側壁を保護するためのカーボンポリマの保護膜を形成できないという問題があった。
上記課題を解決するために、本発明は、ポリシリコン膜をエッチングした後に、カーボンを含むプラズマによりポリシリコン膜の側壁にカーボンポリマの保護膜を形成させることで、ハロゲン系ガスのプラズマにより下層膜である金属材料のエッチング処理を行っても、ポリシリコン膜のサイドエッチ及び側壁荒れを防止することができる。
また、このカーボンポリマによる保護膜により、金属材料をエッチングする際に飛散した金属物質はポリシリコン膜に直接付着することがないので、アッシング工程によりカーボンポリマの保護壁とともに簡単に取り除くことが可能なドライエッチング方法を提供することができる。
本発明技術による金属/高誘電体ゲート構造の形成方法における選択された段階の断面図。 本発明技術による金属/高誘電体ゲート構造の形成方法における選択された段階の断面図。 本発明技術による金属/高誘電体ゲート構造の形成方法における選択された段階の断面図。 本発明技術による金属/高誘電体ゲート構造の形成方法における選択された段階の断面図。 本発明技術による金属/高誘電体ゲート構造の形成方法における選択された段階の断面図。 本発明技術によるポリシリコン膜の側壁に堆積するカーボンポリマの堆積特性図。
以下、本発明にかかる一実施例の形態を図面を用いて説明する。本一実施例はECRエッチング装置を用いて実施したものである。
図1(a)は実施例1に係る半導体素子の断面図である。半導体基板101上にゲート絶縁膜としてSiO2膜102を形成する。その上に高誘電体ゲート絶縁膜としてHfO2膜103を形成し、さらに金属膜としてTiN膜104を形成し、その上にポリシリコン膜105を形成し、その上にハードマスクとしてSiO2膜106を形成する。ハードマスク106は適切なプロセス処理によりすでにデバイスパターンが転写されている。
まず、図1(b)で示すように公知の条件にてポリシリコン膜105をエッチングする。この際のエッチング条件は例えば、マイクロ波電力を800W、RFバイアス電力を40Wとし、エッチングガスはCl2:15ml/min,O2:3ml/min,HBr:45ml/minの混合ガスを使用し、処理室内圧力を0.8Paに保ちウェハ温度は40℃とする。このエッチング条件はポリシリコン膜のエッチング条件の一例であって、ポリシリコン膜のエッチング条件は上記条件に限定されず、いかなる条件でも本発明の効果には影響はしない。
ポリシリコン膜105をエッチングした後、図1(c)で示すようにCHF3のプラズマによりポリシリコン膜の側壁にカーボンポリマの保護膜107を形成した。このポリシリコン膜の保護壁形成段階のエッチング条件は例えば、マイクロ波電力を1000W、RFバイアス電力を30Wとし、エッチングガスはCHF3:100ml/minを使用し、処理室内圧力を0.3Paに保ちウェハ温度は40℃とする。この時、RFバイアス電力の印加によりウェハ表面に垂直に引き込まれるイオンのスパッタ効果により、金属材料表面にはカーボンポリマは堆積し難く、選択的にポリシリコン膜105の側壁に堆積する。
ポリシリコン膜の側壁に堆積するカーボンポリマの堆積速度を測定するために、デバイスパターンが形成されていないシリコンウェハをこのカーボンポリマ堆積条件でエッチングし、カーボンポリマの堆積量を断面SEM(Scanning Electron Microscope)を用いて測定した。側壁を模擬するためRFバイアス電力は印加せずにエッチングを行った。上述の一実施例のエッチング条件で測定を行った結果は図2に示す通りであり、堆積速度は24.8nm/minであった。
その後、図1(d)で示すようにポリシリコン膜105下層のTiN膜104のエッチングを行った。この際のエッチング条件は例えば、マイクロ波電力を800W、RFバイアス電力を10Wとし、エッチングガスはCl2:40ml/minとし、処理室内圧力を0.8Paに保ちウェハ温度は40℃とする。この条件はTiN膜のエッチング条件の一例であって、TiN膜のエッチング条件は上記条件に限定されず、いかなる条件でも本発明の効果には影響はしない。
TiN膜エッチング中のカーボンポリマの削れ量を算出するために、TiNエッチング条件でデバイスパターンが形成されていないレジスト膜付きウェハをエッチングした。この際、ポリシリコン膜側壁のカーボンポリマの削れを模すためにRFバイアス電力は印加せずにエッチングを行った。この結果レジスト膜の削れ量は約1.3nmであった。このため本一実施例では4nm程度の側壁保護膜を形成するために、10秒の側壁保護処理によりカーボンポリマ保護膜の生成を行った。このようにカーボンポリマ保護膜の生成膜厚は、処理時間により調整できる。TiNエッチング中の保護膜削れ量はエッチング条件によって異なるため、エッチング条件を変更した際には変更した条件でレジスト膜の削れを測定し、必要な側壁保護ステップ時間の調整を行った。
その後、カーボンポリマの保護膜107及び、TiN膜104のエッチング時に飛散してカーボンポリマの保護膜107に付着したTiN108を除去するためにアッシング処理を行った。アッシング処理は装置内のアッシング処理室で行い、アッシング処理の条件は例えば、マイクロ波電力を1800W、エッチングガスはO2:2000ml/minとし、処理室内圧力を200Paに保ちウェハ温度は250℃とする。アッシング条件は上記条件に限定されず、いかなる条件でも本発明の効果には影響はしない。
尚、本アッシング処理は、エッチング処理後にそのままエッチング処理室内で、または別のアッシング装置で実施しても良い。
さらに、アッシング処理後、エッチングによる副生成物除去のために5%HF水溶液にてウェットエッチングを行い図1(e)で示すようなポリシリコン膜の側壁に荒れやサイドエッチの発生しない加工形状を得ることができた。
本一実施例によれば、ポリシリコン膜をエッチングした後に、カーボンを含むガスをプラズマ化しポリシリコン膜の側壁にカーボンポリマの保護膜を形成させることで、ハロゲン系ガスのプラズマにより下層膜である金属材料のエッチング処理を行っても、ポリシリコン膜のサイドエッチ及び側壁荒れを防止することができる。
また、カーボンポリマの保護膜をポリシリコン膜の表面に形成することにより、金属材料をエッチングする際に飛散した金属物質は、カーボンポリマの保護膜の表面に付着するため、ポリシリコン膜に直接付着することがない。そのためアッシング工程によりカーボンポリマの保護壁とともに簡単に取り除くことができる。
本一実施例では、カーボンポリマの保護膜107形成にカーボンを含むガスとしてCHF3を用いたが、本発明のガスはCHF3に限定されるものではない。つまり、CHF3ガスに代わりCH4やC26,CH22,CF4,C48,C36,C38,CH3OH,COなどのカーボンを含むガスを使用することもできる。また、これらのうち2種類以上を混合した混合ガスを使用することもできる。また、これらのうち1種類以上のガスにAr,He,O2,N2,HBr,Cl2、等のいずれかの添加ガスを混合したガス系を使用しても同様の効果がある。
また、膜構造に関するところでは、ポリシリコン膜下部の金属膜が2種類以上積層した膜構造も考えられるが、このような構造においても本発明による処理方法が適用できる。
さらに、膜種に関するところでは、本一実施例において、ゲート酸化膜にSiO2、高誘電率ゲート絶縁膜にHfO2、金属膜にTiN、ハードマスクにSiO2を用いたが、これらの膜種は本一実施例と異なるものを用いても適用可能である。以下にこれらの膜の代替材料を列挙する。ハードマスクはSiO2のほかにSiN,SiON,SiOC等のハードマスク材を用いることができ、金属材料に関してもTiN以外に、Ti,Ta,W,La,Mo,Hf,Zr,Nb,V,Ni,Co,Ir,Pt,Alまたは、これらの窒化物またはこれらのシリサイド膜、またはシリサイド膜を窒化させたものでも適用可能である。
また、本一実施例においてポリシリコンのマスクにはハードマスクを用いたが、実際にはレジストマスク等、他のマスク構造を用いても本発明は適用可能である。
また、本一実施例ではプラズマ源にECRを用いたが、ICP又はヘリコンなど他のプラズマ源を使ったエッチングにおいても本発明は応用可能である。
101 半導体基板
102 ゲート絶縁膜(SiO2膜)
103 高誘電体ゲート絶縁膜(HfO2
104 金属膜(TiN膜)
105 ポリシリコン膜
106 ハードマスク(SiO2膜)
107 保護膜
108 飛散金属物質(TiN)

Claims (4)

  1. ポリシリコン膜と前記ポリシリコン膜の下方に配置された金属を含有する膜と前記金属を含有する膜の下方に配置された高誘電体膜とを有し、表面上に前記高誘電体膜が配置された半導体基板に前記ポリシリコン膜と前記金属を含有する膜をプラズマエッチングすることにより金属ゲート電極を形成するドライエッチング方法において、
    前記ポリシリコン膜をプラズマエッチングする第一の工程と、
    前記第一の工程後、カーボンを含むプラズマにより前記第一の工程にてプラズマエッチングされたポリシリコン膜の側壁にカーボンポリマの保護膜を形成する第二の工程と、
    前記第二の工程後、ハロゲン系ガスのプラズマにより前記金属を含有する膜をプラズマエッチングする第三の工程と、
    前記第三の工程後、前記カーボンポリマの保護膜と前記カーボンポリマの保護膜上に堆積した前記金属を含有する膜をアッシングする第四の工程と、を有することを特徴とするドライエッチング方法。
  2. 請求項1記載のドライエッチング方法において、
    前記第二の工程は、CHF3ガスを用いることを特徴とするドライエッチング方法。
  3. 請求項1記載のドライエッチング方法において、
    前記カーボンを含むプラズマは、CHF3,CH4,C26,CH22,CF4,C48,C36,C38,CH3OH,COの中から少なくとも一つを含むガス、または、CHF3,CH4,C26,CH22,CF4,C48,C36,C38,CH3OH,COの中から2つ以上を混合した混合ガス、または、CHF3,CH4,C26,CH22,CF4,C48,C36,C38,CH3OH,COの中から少なくとも一つを含むガスにAr,He,O2,N2,HBr,Cl2のいずれかのガスを混合したガスを用いて生成されることを特徴とするドライエッチング方法。
  4. 請求項1記載のドライエッチング方法において、
    前記第四の工程は、プラズマエッチング処理後にプラズマエッチングが行なわれた処理室、または別のアッシング装置で行われることを特徴とするドライエッチング方法。
JP2009114109A 2009-05-11 2009-05-11 ドライエッチング方法 Active JP5250476B2 (ja)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2009114109A JP5250476B2 (ja) 2009-05-11 2009-05-11 ドライエッチング方法
TW098124871A TWI404140B (zh) 2009-05-11 2009-07-23 乾蝕刻方法
KR1020090069302A KR101044427B1 (ko) 2009-05-11 2009-07-29 드라이 에칭방법
US12/512,103 US7989330B2 (en) 2009-05-11 2009-07-30 Dry etching method

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009114109A JP5250476B2 (ja) 2009-05-11 2009-05-11 ドライエッチング方法

Publications (3)

Publication Number Publication Date
JP2010263132A JP2010263132A (ja) 2010-11-18
JP2010263132A5 JP2010263132A5 (ja) 2012-12-13
JP5250476B2 true JP5250476B2 (ja) 2013-07-31

Family

ID=43062575

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009114109A Active JP5250476B2 (ja) 2009-05-11 2009-05-11 ドライエッチング方法

Country Status (4)

Country Link
US (1) US7989330B2 (ja)
JP (1) JP5250476B2 (ja)
KR (1) KR101044427B1 (ja)
TW (1) TWI404140B (ja)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6007754B2 (ja) * 2012-11-27 2016-10-12 三菱電機株式会社 配線構造の製造方法
CN104241088B (zh) * 2013-06-09 2017-07-14 中芯国际集成电路制造(上海)有限公司 条形结构的形成方法
JP6267953B2 (ja) * 2013-12-19 2018-01-24 東京エレクトロン株式会社 半導体装置の製造方法
US10840105B2 (en) 2015-06-15 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with insulating structure and method for manufacturing the same
JP7061941B2 (ja) * 2018-08-06 2022-05-02 東京エレクトロン株式会社 エッチング方法及び半導体デバイスの製造方法
US11915933B2 (en) * 2020-09-18 2024-02-27 Changxin Memory Technologies, Inc. Manufacturing method of semiconductor structure

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3662275B2 (ja) * 1994-07-13 2005-06-22 ソニー株式会社 ドライエッチング方法
US5767018A (en) * 1995-11-08 1998-06-16 Advanced Micro Devices, Inc. Method of etching a polysilicon pattern
JPH10303183A (ja) * 1997-04-28 1998-11-13 Sony Corp パターンの形成方法
US6071822A (en) * 1998-06-08 2000-06-06 Plasma-Therm, Inc. Etching process for producing substantially undercut free silicon on insulator structures
JP2000091318A (ja) * 1998-09-09 2000-03-31 Fujitsu Ltd 半導体装置の製造方法
JP2000277494A (ja) * 1999-03-26 2000-10-06 Sony Corp 有機系反射防止膜のエッチング方法および半導体装置の製造方法
US20040209468A1 (en) * 2003-04-17 2004-10-21 Applied Materials Inc. Method for fabricating a gate structure of a field effect transistor
US6955964B2 (en) * 2003-11-05 2005-10-18 Promos Technologies, Inc. Formation of a double gate structure
US7163880B2 (en) * 2004-06-02 2007-01-16 Texas Instruments Incorporated Gate stack and gate stack etch sequence for metal gate integration
KR100616193B1 (ko) * 2004-09-15 2006-08-25 에스티마이크로일렉트로닉스 엔.브이. 비휘발성 메모리 소자의 게이트 전극 형성방법
US7208424B2 (en) * 2004-09-17 2007-04-24 Freescale Semiconductor, Inc. Method of forming a semiconductor device having a metal layer
JP4849881B2 (ja) * 2005-12-08 2012-01-11 株式会社日立ハイテクノロジーズ プラズマエッチング方法
JP4865373B2 (ja) * 2006-03-17 2012-02-01 株式会社日立ハイテクノロジーズ ドライエッチング方法
US8183161B2 (en) * 2006-09-12 2012-05-22 Tokyo Electron Limited Method and system for dry etching a hafnium containing material
US7815814B2 (en) * 2007-03-23 2010-10-19 Tokyo Electron Limited Method and system for dry etching a metal nitride
JP5223364B2 (ja) * 2008-02-07 2013-06-26 東京エレクトロン株式会社 プラズマエッチング方法及び記憶媒体
JP5547878B2 (ja) * 2008-06-30 2014-07-16 株式会社日立ハイテクノロジーズ 半導体加工方法
JP5579374B2 (ja) * 2008-07-16 2014-08-27 株式会社日立ハイテクノロジーズ 半導体加工方法
JP2010199126A (ja) * 2009-02-23 2010-09-09 Panasonic Corp プラズマ処理方法およびプラズマ処理装置

Also Published As

Publication number Publication date
KR20100122039A (ko) 2010-11-19
TWI404140B (zh) 2013-08-01
TW201041031A (en) 2010-11-16
US7989330B2 (en) 2011-08-02
KR101044427B1 (ko) 2011-06-27
JP2010263132A (ja) 2010-11-18
US20100285669A1 (en) 2010-11-11

Similar Documents

Publication Publication Date Title
KR100792018B1 (ko) 플라즈마에칭방법
US5948702A (en) Selective removal of TixNy
EP1780779A2 (en) A plasma for patterning advanced gate stacks
KR101476435B1 (ko) 다중-레이어 레지스트 플라즈마 에치 방법
JP5250476B2 (ja) ドライエッチング方法
CN102938378A (zh) 半导体器件及其制造方法
JP3318801B2 (ja) ドライエッチング方法
US8440513B2 (en) Method of semiconductor processing
JP2005268292A (ja) 半導体装置の製造方法
TWI237329B (en) Method for etching a hardmask layer and a metal layer
JP2005285809A (ja) 半導体装置およびその製造方法
US11232954B2 (en) Sidewall protection layer formation for substrate processing
JP2008053438A (ja) 半導体装置の製造方法
Yost et al. Dry etching of amorphous-Si gates for deep sub-100 nm silicon-on-insulator complementary metal–oxide semiconductor
TWI744003B (zh) 鉑的圖案化方法
JP2005129946A (ja) ハードマスクのポストプラズマ洗浄プロセス
JP2007123890A (ja) 改良型ゲートスタックのパターン化用プラズマ
JP3877461B2 (ja) 半導体装置の製造方法
US9881809B2 (en) Semiconductor device and method of fabricating the same
KR20080015271A (ko) 탄탈륨질화막의 식각 방법
KR101574250B1 (ko) 불소계 유도 결합 플라즈마 에칭에 의한 백금 박막의 마이크로 패턴 제조 방법
JP4448807B2 (ja) エッチング方法
JP2006086295A (ja) 半導体装置の製造方法
KR101019704B1 (ko) 반도체 소자의 제조 방법
JP2002261077A (ja) ドライエッチング方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20120409

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20120409

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121026

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20121227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130108

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130206

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130226

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130304

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130319

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130415

R150 Certificate of patent or registration of utility model

Ref document number: 5250476

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160419

Year of fee payment: 3

S531 Written request for registration of change of domicile

Free format text: JAPANESE INTERMEDIATE CODE: R313531

S533 Written request for registration of change of name

Free format text: JAPANESE INTERMEDIATE CODE: R313533

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350