JP2002518825A - 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程 - Google Patents

実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程

Info

Publication number
JP2002518825A
JP2002518825A JP2000553985A JP2000553985A JP2002518825A JP 2002518825 A JP2002518825 A JP 2002518825A JP 2000553985 A JP2000553985 A JP 2000553985A JP 2000553985 A JP2000553985 A JP 2000553985A JP 2002518825 A JP2002518825 A JP 2002518825A
Authority
JP
Japan
Prior art keywords
etching
silicon
ion
reactive
reactive ion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2000553985A
Other languages
English (en)
Other versions
JP2002518825A5 (ja
Inventor
ジョン エフ. ドノフェ
デイビッド ジェイ. ジョンソン
マイケル ダブリュー. デブレ
Original Assignee
ユナキス ユーエスエー インク.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ユナキス ユーエスエー インク. filed Critical ユナキス ユーエスエー インク.
Publication of JP2002518825A publication Critical patent/JP2002518825A/ja
Publication of JP2002518825A5 publication Critical patent/JP2002518825A5/ja
Pending legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00436Shaping materials, i.e. techniques for structuring the substrate or the layers on the substrate
    • B81C1/00555Achieving a desired geometry, i.e. controlling etch rates, anisotropy or selectivity
    • B81C1/00563Avoid or control over-etching
    • B81C1/00571Avoid or control under-cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Geometry (AREA)
  • Drying Of Semiconductors (AREA)
  • Element Separation (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

(57)【要約】 垂直方向に一様なエッチングを行うように様々なサイズの凹部内のイオン充電を制限するためにイオン密度が低減される反応性イオン・エッチング工程を仕上げエッチング段階として使用することによってアンダカットが実質的になくなる、シリコン・オン・インシュレータ基板に異方性プラズマ・エッチングを施す方法を開示する。

Description

【発明の詳細な説明】
【0001】発明の背景 発明の分野 本発明は、シリコン・オン・インシュレータ(silicon on insulator ; SOI)
構造のアンダカットを実質的になくす、半導体材料に異方性エッチングを施す改
良された方法に関する。
【0002】関連技術の説明 半導体チップを含むシリコン含有デバイスを製造する際の重要な段階は、完成
した半導体チップまたは薄膜回路を構成するポリシリコンやシリコンなどの様々
な層をエッチングすることである。
【0003】 トレンチなどのSOI構造は、シリコン・絶縁体界面までエッチングされたとき
にアンダカットを生じることがわかっている。
【0004】 基板にエッチングすべき個々の構造は通常、いわゆるマスキング層、例えば、
フォトレジスト層を介してシリコン基板に塗布されるエッチングマスクによって
画定され、マスキング層は、UV光に露光されその後で現像された後、基板上に残
り、それによってシリコン層をエッチング液から保護する。
【0005】 異方性エッチング技法では、横方向に厳密に画定された凹部(接点を介してト
レンチ)をシリコンに形成する必要がある。このような深く延びる凹部は、でき
るだけ垂直にする必要のある側壁を有さなければならない。
【0006】 エッチングされないようになっているシリコン基板領域を覆うマスキング層の
縁部は、マスクからシリコンへの構造遷移の横方向精度をできるだけ高くするた
めにアンダカットされない。そのため、エッチングが構造の底部でのみ進行する
ことができ、構造のすでに作製された側壁上では横方向に進行できないようにす
る必要がある。
【0007】 この目的のために、プラズマ・エッチング法を使用してシリコン基板上に形状
をエッチングすることが提案されている。この方法では、リアクタ内の反応性ガ
ス混合物中で、放電の助けにより、化学反応種と充電された粒子(イオンおよび
電子)とが生じる。このように生じた正に充電された陽イオンは、RF電界をシリ
コン基板に印加することにより、誘導された電気バイアスによって基板の方へ加
速され、事実上垂直に基板表面上に落下し、反応性プラズマ種とエッチングベー
ス上のシリコンとの化学反応を推進する。
【0008】 陽イオンがほぼ垂直に落下するために、エッチングは構造の側壁の方へ徐々に
進行すべきであり、最適な場合には全く進行すべきではない。
【0009】 フッ素ベースの、危険ではなく工程において安定な反応性ガスを使用すること
が知られている。しかし、このような反応性ガスは、非常に高いエッチング速度
と、エッチングすべき基板とマスクとの間の非常に高い選択性を可能にするが、
著しく等方性のエッチング挙動を示す。
【0010】 プラズマ中で生成されたフッ素基は、構造縁部(横方向表面)が高速にエッチ
ングされる高い自然反応速度を示し、したがって、マスク縁部、トレンチ側壁の
アンダカット、および絶縁体界面上のトレンチのアンダカットが望ましくないも
のになる。
【0011】 アンダカットの問題を解消する様々な提案がなされている。このような1つの
方法においては、保護層を設けることを含み、例えば米国特許第4528066号では
、二酸化ケイ素の絶縁体層をエッチングせずにタングステンシリサイドおよび多
結晶シリコンの層からゲート電極をエッチングする反応性エッチング技法が開示
されている。ゲートの側壁は、ポリテトラフルオロエチレン層を塗布することに
よってエッチングから保護される。
【0012】 以下ではBosch工程と呼ばれる米国特許第5501893号において、まずシリコン基
板にプラズマ・エッチング段階が施され、その後で、露出された領域が、一時的
なエッチングストップを形成するポリマー層で覆われる、第2の重合段階が実行
される、エッチング工程が開示されている。この2つの段階は、エッチング段階
と重合段階を交互に繰り返すことによって工程を構成する。
【0013】 本明細書で詳しく説明する代替方法では、エッチングの間に同時にプラズマに
存在する1つまたは複数のポリマー形成化合物で側壁が覆われ、それによって、
ポリマー膜が壁を保護する。ポリマー膜はエッチングベース上にも形成されるの
で、イオンが安定に落下することにより、この膜がポリマーから分離され、かつ
そこへのエッチングが可能になるはずである。しかし、フッ素担体自体から部分
的に形成されるか、またはフッ素基を分割することによって部分的に形成される
か、または意図的に添加された不飽和化合物もしくは腐食した有機フォトレジス
ト・マスク材料によって生じる、プラズマに添加されるポリマー形成化合物が、
フッ素基に対する再結合パートナーとして生じるという欠点が、上記の技法に関
連して存在する。目的が化学的平衡であるこの逆反応によって、エッチングに必
要なフッ素のかなりの部分が消費され、同時に、側壁のパッシベーションに必要
なポリマー形成物質の対応する成分が失われる。このため、この方法によって実
現できるエッチング速度は著しく低くなる。
【0014】 プラズマ中の不飽和ポリマー形成化合物に対してエッチングフッ素基がこのよ
うに依存するので、エッチング速度およびエッチング形状は、エッチングすべき
空きシリコン基板表面に依存する。これは、フッ素基がプラズマ中に存在するポ
リマー形成化合物と反応し、それにより、シリコン基板をエッチングするために
使用できるフッ素基が減少するからである。
【0015】 また、生じる可能性のある他の欠点としては、ポリマー形成化合物を生じさせ
る、プラズマ中に存在する不飽和種が好ましくは、あるマスク材料をエッチング
し、したがって、選択性、すなわち、シリコン・エッチング速度とマスク・エッ
チング速度との比を低下させたときに生じる。さらに、非一様な側壁保護が行わ
れた場合、側壁のマスク縁部でポリマーが直接、より厚くコーティングされ、し
たがって、側壁は、構造の徐々に大きくなるエッチング深さにおいてではなくこ
の領域において適切に保護される。
【0016】 この場合、深さが大きくなるにつれて側壁のポリマー被覆が急速に減少し、側
壁でアンダカットが起こり、その結果、ボトル状のエッチング形状が生じる。
【0017】 フッ素ベースの反応性ガスを使用する代わりに、塩素や臭素など他のハロゲン
をベースとする反応性ガス、またはプラズマ中で塩素または臭素を放出する反応
性ガスを使用することが提案されている。というのは、これらのガスは、シリコ
ン表面上でそれほど反応しないからである。
【0018】 反応性ガスから得られる基、通常は、プラズマ中で形成される、例えばSF6、C 4 F8、NF3から生成されるフッ素基は、シリコンに対する著しく高い自然反応を示
し、まずエッチングを起こし、同時にイオンを支持する。容量結合された低RF電
極では、電極上でグランドに対して負の自己誘導DCバイアス電位が生じることが
一般に知られている。したがって、イオンがシリコン基板に事実上垂直に当たる
ので、これらの反応性ガスは、主として構造の底部のみをエッチングし、構造の
側壁をエッチングしないという利点を与える。しかし、これらの反応性ガスの反
応は、水分の影響を非常に受けやすいという欠点が存在する。
【0019】 この場合、リアクタ内のシリコン基板に高価な移送装置が必要であるだけでな
く、エッング・システム全体の漏れ率を極めて低い値に維持しなければならない
。リアクタ内にごくわずかな水分が発生した場合でも、局所的なシリコン酸化の
ためにシリコン・エッチングの底部で微細粗さが生じ、したがって、エッチング
が完全に破壊される。
【0020】発明の概要 本発明の一目的は、既知の従来技術の欠点を解消することである。具体的には
、一目的は、シリコン・オン・インシュレータ界面のアンダカットを実質的に抑
制する利点を与える、イオン密度が低減される異方性反応性イオン・エッチング
法を開発することである。
【0021】 本発明の一局面において、この目的は、下側の絶縁酸化層の実質的なエッチン
グが回避される、シリコン基板表面をエッチングする方法を提供することによっ
て実現される。この方法は、「仕上げ」エッチングが行われるクリアリング・フ
ェーズとして働く異方性プラズマ反応性イオン・エッチングを含む。
【0022】 本発明の目的はまた、重合付着工程を反応性イオン・エッチングと組み合わせ
る本発明の他の局面によって実現される。エッチング種をプラズマ中のポリマー
形成化合物と組み合わせることが可能である。あるいは、本発明の方法において
エッチングと重合を連続的に行うことが企図される。その結果、シリコン・オン
・インシュレータ基板上にアンダカットがほとんどないか、あるいは全くない垂
直な縁部を有する深い構造(例えば、トレンチ)が形成される。
【0023】 本発明の他の目的、特徴、利点は、以下の好ましい態様を検討することによっ
てさらに明らかになると思われる。
【0024】好ましい態様の説明 本発明者らは、SOI構造のシリコン・酸化物界面でのアンダカットを低減させ
るうえで、イオン密度が重要な因子であることを発見した。シリコン基板にはn
型基板およびp型基板と、他のシリコン・ブレンド基板を含められることを理解
されたい。低減されたイオン密度を実現するために発明者によって開発された方
法は、エッチングのクリアリング・フェーズ中にプラズマ・エッチング技法を使
用する方法である。すなわち、エッチングの大部分は、当業者に既知の任意のエ
ッチング技法によって行われ、次いで、本発明の低イオン・プラズマ・エッチン
グ技法を使用してクリアリング・エッチングが行われる。本発明者らは、イオン
密度を約109イオン/cm3よりも低い値に低下させることによってアンダカットが
実質的になくなることを発見した。速度は減少するが、アンダカットは低減する
はずである。この趣旨は、トレンチに入るイオンの数を減少させ、それによって
、トレンチ絶縁体底部に見られる電荷の蓄積をなくすか、あるいは低減させるこ
とである。本発明者らは、RIE工程によってイオン密度を低下させることが可能
であると判定した。
【0025】 アスペクト比がより高い微細形状からシリコンのバルクを除去した後、パッシ
ベーションを優先し、かつエッチングの腐食性を低下させる工程条件を使用して
、エッチングの残りの部分が行われる。この技法は、上述の酸化物/シリコン界
面での充電構造を変更せず、その代わり、オーバカットの程度を厳密に制御する
能力に依存する。
【0026】 本発明のクリアリング・フェーズが、プラズマ・エッチング工程、好ましくは
反応性イオン・エッチング(RIE)を含み、その工程条件がパッシベーションを
優先し、かつエッチングの腐食性を低下させる。クリアリング・フェーズまたは
「仕上げ」エッチングを使用することは、絶縁体界面までエッチングするために
必要である。プラズマ・エッチングを使用する際、ラグが生じる可能性がある。
ラグとは、トレンチ・アスペクト比が大きくなるにつれてエッチング速度を低下
させる、様々なサイズのトレンチ/穴における拡散制限条件である。本発明のプ
ラズマ・エッチング工程を実施する前に行われるエッチングの大部分は、イオン
密度が高いときには等方性であり、したがって、絶縁体層に垂直であり電荷蓄積
を制限する直線的な側壁を製造するには、低イオン密度で凹部を垂直に(異方性
に)エッチングするプラズマ・エッチング(RIE)が必要である。
【0027】 初期エッチングを行う1つの方法は、Boschによって記載されたように、循環エ
ッチングおよび重合付着工程を含む。Bosch工程の後で本発明の工程を実施する
際、プラズマ・エッチング(RIE)を使用してベースからポリマーが除去される
。これは、ベースからポリマーを除去する速度の方が側壁からのポリマー除去速
度よりも大きいためである。
【0028】 重合付着工程の間に、エッチングベースに塗布されるポリマー層は、その後に
続く反応性イオン・エッチングのクリアリング・フェーズの間に急速に破壊され
る。これは、ポリマーが非常に急速に剥離され、イオンが支持されるとともに、
反応性プラズマ種とシリコンとの化学反応がエッチングベース上で進行すること
ができるためである。
【0029】 クリアリング段階の反応性イオン・エッチングの間に、構造の側壁がエッチン
グされ、残りの部分は、重合段階中に塗布されたポリマーによって保護される。
【0030】 本発明者らは、1つの理論に拘束されることを望んではいないが、以下のメカ
ニズムによって電荷蓄積が起こると考える。
【0031】 容量結合された低RF電極を有するプラズマ・エッチングシステムでは、電極上
でグランドに対して負の自己誘導DCバイアス電位が生成される。ポアソン(Pois
son)の方程式によって、電荷分離のためにバイアスが生じる。負にバイアスさ
れた電極の場合、このバイアスが生じるためには、この電極/ウェハ上に電子が
蓄積しなければならない。時間平均条件の下では、電子の蓄積量は、表面に対し
て加速されるイオンの数が、表面に到達する電子の数に等しくなるほど、イオン
に対する吸引力が大きくなるまで増大する。言い換えれば、ウェハまたは電極へ
の正味DC電流は零である。この因子は、容量結合された電極に関する制約の1つ
である。過渡電流は存在するが、定常状態DC電流は存在しない。本発明者らは、
アンダカットを発生させるのは定常状態中のこのような過渡電流であると考える
【0032】 平坦な導電シリコン・ウェハ表面の場合、ウェハ表面を横切る電荷分布はかな
り一様であり、すなわち、表面を横切るDC電位は定常状態条件の下では一様であ
る。ウェハを横切る電荷分布が一様であるため、ウェハに向かうイオン加速度は
一様である。いくつかの表面が導電性を有し、それに対して他の表面が絶縁体に
なることが知られている。電荷分布は、表面の特性に応じて表面を横切って変動
する。したがって、電界は変動する。準中性条件の下では、ウェハへの正味零DC
電流はシリコン微細形状に対して定常状態を維持する。しかし、過渡的な局所電
荷蓄積段階中に、局所DC電位が生じる。このような局所電位変動は、シリコン・
オン・インシュレータ界面のアンダカットを発生させる変動である。
【0033】 図1は、表面微細形状を特徴とする1つの可能な電荷分布構成を示している。こ
れは、トレンチの断面を表わしている。シリコンおよびフォトレジストの側壁に
沿って負の電荷がある。この負の電荷は、将来トレンチに入る電子に対する電位
バリヤを形成する。トレンチの幅が狭ければ狭いほど、電界結合、したがってバ
リヤは強力になる。
【0034】 バリヤが強力になればなるほど、電子がトレンチを貫通できる可能性は低くな
る。一方、この電荷分散はイオンに対するポテンシャル井戸を形成する。イオン
はトレンチに容易に進入する。しかし、この場合、不均衡が存在し、電子よりも
多くのイオンがトレンチ、特に、電子バリヤがより大きいより小さなトレンチに
入る。このようなイオンは、各壁の方への吸引力を「受ける」が、いくつかのイ
オンはトレンチの底部への吸引力を生じさせる。トレンチの底部が絶縁体、例え
ばSiO2である場合、イオン電荷が漏れるまたは放出する可能性はなく、したがっ
て、正の電荷が蓄積される。これは、酸化物界面でシリコン・アンダカットが起
こる可能性が高い状況である。側壁の負の電荷による吸引力と、トレンチの底部
での正電荷蓄積による反発力がある。吸引力と反発力は共に、図2に示すように
、将来のイオンの、シリコン壁底部に至る経路を湾曲させる。したがって、この
ようなイオンは、シリコン壁底部に衝突し、シリコンと絶縁体層との間にアンダ
カットを形成する。
【0035】 電荷蓄積の速度および量は、アンダカットの程度に影響を与える重要な因子で
ある。蓄積は、イオン電流密度Ji、酸化物厚さtox、酸化物誘電定数Eox、および
アスペクト比(th/tw)を含むがこれらに限定されることはない多数の因子に依
存する。 Jiは、本発明の工程によって調節することができる。単位面積当たり
電流を表わすために使用されるJi、すなわちイオン電流密度項を調節する因子が
ある。電流密度は次式のように表わされる。
【数1】 Ji=enivi (1) 式中、「e」は電荷であり、viはイオン速度であり、niはイオン密度である。し
たがって、電荷、イオン速度、およびイオン密度を変化させることによって、イ
オン電流密度に影響が与えられる。
【0036】 平均イオン速度は、次式のように、電極の上のシースを横切る、平均DCバイス
Vbおよびプラズマ電位φpから算出することができる。
【数2】
【0037】 viについて解き数式1に代入すると、数式3に示すように、ウェハ表面に到達す
るイオンの平均電流密度に関する数式が得られる。
【数3】
【0038】 数式3は、3つの主要因子が電流密度に影響を与えることを示している。最も大
きな影響を与えかつ最も容易に変化する因子は、イオン密度niである。これは、
イオン密度が、イオン電流に正比例し、かつ高密度源の関数であり、すなわち、
本発明の工程におけるイオン種の主要な生成要素の関数であることによる。低RF
電力もイオン密度に寄与するが、高密度源よりも程度は低い。調節性に関する2
番目に重要な因子はDCバイアスVbである。このバイアスは、低RF電力、工程にお
ける圧力、ガス種、および周波数を含め、多数のパラメータの関数である。しか
し、イオン電流は、このバイアスの平方根として変化するに過ぎないが、イオン
密度の線形関数であった。したがって、この因子を変化させることによる調節の
程度は低い。検討すべき第3の因子は、充電される種の質量miである。プラズマ
処理時に多数の基が存在するが、質量値は、エッチング液ガスとガスを細分する
ICPの能力とに応じて変化する可能性がある。イオンの質量を大きくすると電流
密度が低くなり、イオンの質量を小さくすると電流密度が高くなる。質量値は、
バイアスと同様な平方根関数であるので、調節するのは容易ではない。
【0039】 上記の議論は主として定常状態の状況に関する議論であるが、電荷が蓄積し、
そのためシリコン・オン・インシュレータ界面のアンダカットが起こる過渡状況
もある。
【0040】 図3の電気回路は、下側の酸化物の、キャパシタとしての効果、および充電と
の関係を表わしている。図3は、トレンチが酸化層までエッチングされた場合の
電気特性を示している。抵抗Rtは、イオン種がトレンチを横切り酸化物界面に到
達するまでに起こる衝突の数を表わす。Rtは、トレンチの幅、長さ、および深さ
の関数である。衝突が数が多くなればなるほど、Rtの値が高くなり、衝突が数が
少なくなればなるほど、Rtの値が低くなる。Rsiは、壁の底部からシリコン表面
までのシリコン・ビームの抵抗を表わす。Rowは、酸化物表面と壁の底部との間
の抵抗を表わす。Coxは、トレンチの底部での酸化物の関連するキャパシタンス
である。このキャパシタンスは、酸化物の厚さ、領域、および誘電定数に依存す
る。Rbは、ウェハのバルクにおける酸化物の下の関連する抵抗である。DCバイア
ス電圧Vbは負であり、ウェハ表面での測定バイアスを表わす。実際には、バイア
スは電極で測定され、したがって、測定およびクランプ方式に応じ、すなわち、
機械的クランプであるか、ESC(静電チャック)であるか、または単なる熱接触
であるかに応じて、ウェハ表面でのバイアスと電極でのバイアスには何らかの差
が生じる。電極がシリコン・ウェハ表面と同じ電位であることを理解されたい。
しかし、すべての電圧はグランドを基準にしている。回路上の最後の電圧はVox
である。この電圧は正であり、酸化物表面上のトレンチの底部で測定される最後
の電圧または定常状態電圧である。
【0041】 シースを横切ってウェハ表面に到達するイオンは、平均総エネルギーφp−Vb
を有する。これは、ウェハ表面でのイオンの平均開始エネルギー、すなわち、壁
、トレンチ内の他の種、および酸化物表面との衝突によって失われるエネルギー
である。平均イオンは、図2および図3を見るとわかるように、開始時にフォトレ
ジストに衝突するか、あるいはトレンチに進入する可能性がある。イオンは、ト
レンチに進入する場合、シリコン壁表面に十分に近いときは、この表面と再結合
することができる。イオンは、中央を横切る場合、下向き経路内での衝突後にも
残るほどのエネルギーを有する場合には、酸化物表面に到達することができる。
酸化物表面上に存在する正電荷の量が少ないとき、新しいイオンの偏向または反
発はほとんど起こらない。時間の経過と共に、底部に至るこの経路に残ったイオ
ンが連続的に電荷蓄積に追加される。電荷蓄積が、それ以上のイオンが酸化物表
面から反発される点に到達すると、Rowによってシリコン壁へのイオン電流成分
が増大する。これは、正の酸化物と負に充電されたシリコン壁との間の電位差が
大きいために起こる。戻り経路は、抵抗Rsiによる、ビームを介した壁表面への
電子流の増大として具現化するシリコン壁表面での再結合に過ぎない。あらゆる
トレンチに2枚の壁があるので、実際には2つの戻り経路がある。Rsiはこれらの
経路の結合抵抗を表わす。
【0042】 要するに、電荷蓄積は3つの因子に依存する。1つ目は充電電流であり、2つ目
はトレンチ内でのイオンの衝突であり、3つ目は壁表面との再結合である。
【0043】 酸化物表面にあるトレンチの底部での電圧は、図3に示すVox値に対して時間と
共に指数関数的に増大することを示すことができる。この増大速度はReffおよび
Coxの値に依存する。この2つの積は、システムの時定数を与える。時間の関数と
しての電圧V(t)を数式(4)に与える。
【数4】 式中、
【数5】 および
【数6】 である。
【0044】 理論上、時間零(t=0)のとき、数式(4)は、開始電圧がゼロになり、V(t
)が、時間の経過と共にVoxの値に近づくことを示す。Voxは、数式4bに示すよう
にプラズマ電位ΦpおよびバイアスVbの関数である。前述のように、Φpの調節は
困難であるが、Vbは調節することができる。したがって、蓄積電圧Voxを制限す
る1つの手段は、バイアスを低下させることである。零Vbが理想的であるが、数
式(3)に示すように、零Vbは、ウェハ表面へのイオン電流がないことを意味す
る。イオン電流はエッチングに必要であるが、発明者は、酸化物界面での大きな
Voxの蓄積が回避されるようにイオン電流を調節できることを発見した。Voxを蓄
積させるのに必要な時間は、ReffとCoxの積によって調節される。数式(5)は、
酸化物の形状およびCoxとの関係を示している。
【数7】
【0045】 酸化物の露出された領域は、数式(5)では「A」で表わされており、トレンチ
の幅と長さの積である。酸化物の誘電定数はεoxによって与えられ、酸化物の厚
さはtoxによって与えられる。したがって、時定数を大きくする1つの方法は、Co x 値を大きくすることである。数式(5)は、酸化物の厚さを小さくし、誘電定数
を大きくし、面積を大きくすることによってCox値を大きくするための関係を示
している。トレンチが同じ深さを有するが、様々な幅を有する場合、トレンチの
幅が小さければ小さいほどキャパシタンスが低くなり、したがって、充電が高速
になる。理論上、工程条件が同一である場合、より小さなトレンチは、より大き
なトレンチよりも高速なアンダカットを示す。時定数はReffにも依存するので、
抵抗を大きくすると、充電時間が長くなり、アンダカットが低速になる。数式(
4a)は、バルク・シリコン抵抗Rbを高めるべきであることを示唆している。言い
換えれば、比抵抗のより高いシリコンはアンダカットを低減させる。また、Rt
Rsi、およびRowを大きくするとアンダカットが低減する。RbおよびRsiは算出す
ることができるが、RtおよびRowは未知である。これらの大きさは、RsiおよびRb が、酸化物を充電するのにかかる時間と、Voxの値とに対して大きな影響を与え
るかどうかを判定するうえで重要である。上記の説明ではトレンチについて説明
したが、当業者には、この説明を他の構造にも同様に適用できることが容易に明
らかであると思われる。
【0046】 クリアリング段階中に印加されるRF電力の量は、約5Wから500Wであり、好まし
くは約50Wから200Wであり、最も好ましくは約80Wから150Wである。エッチング液
ガスの流量は約100sccmから200sccmであり、高分子ガスの流量は約0sccmから100
sccmであり、最大エッチング液・ポリマー形成化合物比は2:1である。エッチン
グ工程中の圧力は約1mTから500mTであり、好ましくは約20mTから50mTである。エ
ッチング工程中の温度は約15℃から25℃であるが、液体N2温度(−177℃)と同
程度に低くすることができる。以下の例は例示的なものに過ぎないが、RIE段階
中に、基板にのみRF電力が印加されることを理解されたい。
【0047】比較例 図4は、標準的な8.5分間のエッチングの後、幅が4μm以上のトレンチのシリコ
ン/酸化物界面で起こるアンダカットを示すSEM写真である。この例では、3段階
循環工程を含み、エッチング工程および重合付着工程の交互の段階が存在する、
米国特許第5501893号で開示された標準Bosch工程が使用された。エッチング工程
は約2秒から6秒にわたり、圧力約23mT、温度約15℃で、約825Wの電力を印加する
ことによって行われ、この場合、反応性ガスのガス流量は約50sccmから100sccm
であり、不活性ガスのガス流量は40sccmである。重合付着工程は約5秒にわたり
、圧力約22mT、温度約15℃で、約825Wの電力を印加することによって行われ、こ
の場合、反応性ガスのガス流量は約70sccmであり、不活性ガスのガス流量は約40
sccmである。酸化物の厚さ(20000Å)はtoxで表わされる。アンダカットは、2
μmのトレンチを形成を試みている間に起こった。3μmのトレンチ、すなわち、
右から3番目のトレンチは、酸化物界面に到達していないが、シリコン内にアン
ダカットの兆候を示していない。4μmのトレンチ、すなわち、3μmトレンチの左
側のトレンチはすでにアンダカットの兆候を示している。このアンダカットは、
より小さなトレンチがより大きなトレンチと比べてどれだけ高速に充電されるか
を示している。露出された酸化物領域がより小さくなるので、関連するキャパシ
タンスはより低くなる。同じ充電電流を使用すると、より低いキャパシタンスは
より高速に充電され定常状態に達する。充電によって、壁表面に対するオフアク
シス(off axis)・イオン衝突および再結合が起こる。
【0048】 シリコン基板をエッチングする際、検討すべき1つの因子は、より小さな微細
形状をエッチングする際に示される固有のラグである。ラグの量を理解するため
に、図5は、2つの異なる低RIE電力に対するラグ%とトレンチ幅との関係を示す
グラフを示している。比較のため、ラグはすべて100μmのトレンチ幅を基準にし
ている。9W RIE曲線(黒いダイヤモンド)を見ると、ラグが12%である20μmの
穴は、100μmの穴よりもエッチング速度が12%低い。測定は、ラグが40%をわず
かに超える2μmの穴まで行った。より低いRIEワット数を使用するとラグが低減
される。RIEによってラグをある程度調節することが可能であるが、十分ではな
く、したがって、顕著な量のエッチングを実現するエッチング工程を適切に終了
するための選択が慎重に行われる。RIEのエッチング速度は、高密度源よりも約2
桁低いイオン密度を有し、したがって、エッチング速度は低くなる。数式(4b)
に示すように、バイアスVbはトレンチ内の電圧蓄積Voxに寄与する。したがって
、バイアスはイオンがウェハ表面に到達できるようにするのに十分ほど高くなけ
ればならない。したがって、イオン密度が低くなったときは、他の場合に高密度
源によって得られるよりも高いバイアスを使用することができる。したがって、
ラグの低減は、本発明によって生じる予期されていない利点の1つである。
【0049】 他の重要な因子は、マスク/レジスト層の選択性である。バイアスを高くする
と一般に、物理的衝突のためにレジストが急速に劣化し、したがって、バイアス
の調節が選択性に影響を与える。
【0050】実施例 以下の例は、3つの循環段階が実行される標準Boschを初期エッチングに使用す
る実施例である。エッチング工程は約2秒から6秒にわたり、圧力約23mT、温度約
15℃で、約825Wの電力を印加することによって行われ、この場合、反応性ガスの
ガス流量は約50sccmから100sccmであり、不活性ガスのガス流量は40sccmである
。重合付着工程は約5秒にわたり、圧力約22mT、温度約15℃で、約825Wの電力を
印加することによって行われ、この場合、反応性ガスのガス流量は約70sccmであ
り、不活性ガスのガス流量は約40sccmである。この初期エッチング工程の後で本
発明のエッチング工程が行われる。RIE工程は約4分間にわたって行われ、圧力約
25mTから35mT、温度約20℃で、約80Wのバイアスが基板に印加され、この場合、
反応性ガスのガス流量は約200sccmである。本発明の工程は、循環エッチングお
よび重合付着工程を含むことができる。この重合付着工程は約5秒にわたり、圧
力約25mT、温度約15℃で、約825Wの電力を印加することによって行われ、この場
合、反応性ガスのガス流量は約70sccmであり、不活性ガスのガス流量は30sccmで
ある。
【0051】実施例1 図6は、標準Bosch工程と、その後に続く、エッチング工程のクリアリング・フ
ェーズを包含する非循環RIE工程の結果を示している。図6の右側の2つのトレン
チは酸化物層までエッチングされており、アンダカットの兆候はない。このこと
は、好ましいRIEのみのエッチング手法は、酸化物/シリコン界面でのアンダカ
ットをなくす能力を有することを示している。したがって、RIE手法は広範囲の
アスペクト比を有する基板に適している。
【0052】 Bosch工程などの工程を使用して酸化物界面に連続的にエッチングする能力は
、アンダカットを防止する工程のタイミングが重要であるので、適切なエンドポ
イント検出器を必要とする。このようなエンドポイント検出器は当業者に公知で
ある。
【0053】 本発明の他の態様において、本発明者らは、イオン密度を低くすることによっ
てアンダカットを低減させる働きをするRIEがポリマー付着段階と組み合わされ
、それによって、壁に対するポリマー付着が増大しアンダカットを防止する働き
をするエッチング工程を開発した。この技法を使用することによって、RIEラグ
をなくすことが可能になる。しかし、この工程を使用する際、所望の微細形状を
十分にエッチングするのに必要な時間が長くなる。トレンチ幅が小さくなればな
るほど、トレンチを形成するのに必要な時間が長くなる。しかし、最大トレンチ
と最小トレンチの比が小さい場合、オーバーエッチング時間は短くなる。
【0054】実施例2 上述の技法を使用して、本発明の工程のこの局面を実証するための実験を行っ
た。トレンチ幅は2μmから100μmまでの範囲であった。これらのランのうちの1
つの結果を図7に示す。エッチングの大部分はBosch工程に従って行われ、その後
に、循環付着およびエッチング工程を含む本発明のエッチング工程が行われる。
図7は、2μmから10μmの範囲のトレンチ幅を示している。図7に示す側壁は、図6
と比べてより直線的である。オーバーエッチング時間が長い場合でも、アンダカ
ットはほとんど存在しない。この技法が成功するかどうかは、本発明のエッチン
グ工程に切り替えて残りのシリコンを「仕上げ」エッチングとしてエッチングす
る前に、当業者に公知の標準エッチング工程による、より大きなトレンチを形成
する能力に依存する。
【0055】 本発明の一態様では、Bosch工程に従って初期プラズマ・エッチング段階が行
われ、この場合、飽和種と不飽和種の特定の比、すなわち、フッ素基とポリマー
形成化合物の特定の比を考慮する必要はなく、したがって、工程全体の異方性に
悪影響を与えずに実際のエッチング段階をエッチング速度および選択性に対して
最適化することができる。初期プラズマ・エッチング段階および重合段階の後で
、低減されたイオン電流密度を有する本発明のRIE工程が行われる。
【0056】 本発明の代替態様では、初期プラズマ・エッチング工程の間に、およびBosch
工程に従った重合工程の間に、シリコン基板にイオン・エネルギーが衝突する。
イオン・エネルギーとのこの同時衝突によって、エッチングベース上にはポリマ
ーが全く形成されていないか、あるいは実質的に全く形成されず、したがって、
従来必要とされている、エッチングベース上でのポリマー層の分解が必要とされ
ないので、初期エッチング段階中により高いエッチング速度を実現することがで
きる。低イオン・エネルギーのこの衝突によって、顕著なマスク選択性および異
方性を実現することができる。
【0057】 初期エッチング工程時などに高いエッチング速度のために起こる、フッ素基と
シリコンとの強い発熱反応によって、シリコン基板はかなり高温になる。したが
って、シリコン基板は、好ましくはヘリウム・ガス流によって、エッチング工程
中に冷却することが好ましい。高温によってポリマー付着層およびマスク層のエ
ッチングが推進されるときに基板を冷却することが好ましい。
【0058】 実質的なアンダカットなしに、鋭い垂直縁部を有する、トレンチなどの深い構
造を作製するように「仕上げ」エッチングを完了するには、前述のようにクリア
リング段階で低イオン密度を維持する必要がある。イオン・エネルギーが高いと
一般に、分散または剥離され、次いで制御されずに再付着した材料の反応が干渉
される。しかし、シリコン基板に作用するイオンのエネルギーは、平滑なエッチ
ングベースが得られるように、構造ベースに堆積物が蓄積しないようにするのに
十分なエネルギーでなければならない。
【0059】 シリコン基板がすでに重合中に低イオン・エネルギーと衝突している場合、エ
ッチングベース上にはポリマーがほとんどあるいは全く形成されない。したがっ
て、重合可能なモノマーが側壁上に蓄積し、クリアリング・フェーズに対する特
に有効な保護を側壁に施し、それに対して、エッチングベースは被覆されず、あ
るいは実質的に被覆されないことが好ましい。
【0060】 どちらの代替態様でも、プラズマ・エッチング段階中のみのイオン効果、また
はプラズマ・エッチング段階と重合段階の両方の間のイオン効果と、非常に高い
異方性を示し、すなわち、実質的にアンダカットの起こらない事実上厳密に垂直
なエッジ形状を有する構造が実現される。
【0061】 好ましい態様において、低イオン・エネルギーによって異方性エッチングを行
うことができる。重合段階の間にエッチングベース上にポリマーが全く付着しな
いときは、約5eVに過ぎないイオン・エネルギーを使用することができる。構造
ベースはプラズマからの堆積物を完全に有さず、それによって最初はエッチング
ベースの粗さを確立できないようにするために、初期エッチング段階中には、5e
Vから30eVのエネルギーによるイオン衝突を推奨する。イオンがクリアリング段
階中にシリコン基板の方へのみ加速される場合、これらのイオンも、重合段階中
に付着するエッチングベース・ポリマーを数秒以内に十分に貫通する。この動作
モードでは、エッチング速度におけるマイクロローディング効果がさらに低下す
る。
【0062】 フッ素基とシリコンの自然反応速度が高いので、シリコン・エッチングは基本
的にイオンの支持を必要としない。
【0063】 シリコン基板に異方性エッチングを施すための本発明の好ましい態様は、以下
のように実施される。
【0064】 シリコン基板をパターン化し、エッチングマスク、例えばフォトレジストでコ
ーティングした。このエッチングマスクは、異方性エッチングを施すことになっ
ているシリコン基板の領域を空けておくマスクであり、このエッチングマスクに
第1のエッチング段階を施す。当業者に公知のフォトレジスト以外のエッチング
マスクも本発明の範囲内であることを理解されたい。
【0065】 SF6、NF3、およびCF4などのフッ素化ガスと、アルゴンArなどの不活性ガスと
の混合物を、ガス流量が0sccmから500sccmであり、処理圧力が5mTから100mTであ
る初期エッチングに使用することができる。バルク・エッチングまたは初期エッ
チングに関するプラズマ生成は好ましくは、出力300Wから1200W(最大約2.45GHz
)のRF励起またはその他の高密度源によって行われる。
【0066】 同時に、イオンを加速するための基板RF(無線周波数)バイアスを基板電極に
印加する。基板バイアスは好ましくは5Vから100Vであり、出力2Wから20Wの高周
波数電源(13.56MHz)によって得ることができる。
【0067】 初期エッチング中に、フッ素化ガスと不活性ガスの混合物中での放電の助けに
よってリアクタ内で、化学反応種および充電された粒子(イオン)が生成される
【0068】 このように生成された正に充電された陽イオンは、基板電極に印加されたRFバ
イアスによってシリコン基板の方へ加速され、エッチングマスクによって空けら
れている基板表面上にほぼ垂直に落下し、反応性プラズマ種とシリコンの化学反
応を推進する。
【0069】 このエッチングは、約5μmから約500μmのエッチング深さを得るための期間に
わたって行うことができる。凹部の絶対深さは、エッチングすべき基板の厚さお
よび所望のエッチングの量に依存する。
【0070】 重合付着工程では、例えば、CHF3などのフッ素化ガスとアルゴン(Ar)の混合
物を使用することができ、適切な周辺群を有する他の過フッ素化芳香族物質、例
えば過フッ素化スチレン様モノマーやエーテル様フッ素化合物を使用することも
できる。
【0071】 以前のエッチング時に露出された表面、すなわち、エッチングベースおよび側
壁は、重合中にポリマーで覆われる。このポリマー層は、エッチング縁部または
エッチング表面上にエッチングストップを形成する。
【0072】 重合段階でエッチング縁部に塗布されたポリマーは、反応性イオン・エッチン
グ段階を含む後続のクリアリング・フェーズ中に再剥離される。初期エッチング
段階時に露出された縁部は、反応性イオン・エッチング段階中に、ポリマー層に
よってさらなるエッチング腐食から保護される。反応性イオン・エッチング工程
では、F2、SiF4、C2F6、MoF5、WF6、XeF2、SF6、C3F8、NF3、CHF3、およびCF4
どのフッ素化ガスとアルゴンArなどの不活性ガスとの混合物を使用することがで
きる。クリアリング・エッチングは、循環的に繰り返し行うことができ、すなわ
ち、クリアリング・エッチングの後で、さらなるエッチングを含む重合付着を行
うことができる。クリアリング・エッチングと共に循環的に繰り返される重合付
着は、フッ素化ガス、例えば、CHF3、CH3F、C2H2F2、C2H2F4、C3F8、およびC4F8 を使用する。ガス混合物は流量が約0sccmから250sccmであり、好ましくは約0scc
mから100sccmである。出力が好ましくは300Wから1200Wのときに、マイクロ波、I
CP、TCP、ヘリコン、ECR、またはその他の高密度励起源。
【0073】 放出されたモノマーが再び互いに直接連続するように沈降する傾向があり、そ
の肯定的な結果として、クリアリング段階中に追加の局所側壁保護が行われるこ
とが知られている。すなわち、エッチングフェーズ中に剥離されたポリマーを側
壁に再付着させることができる。モノマーが放出された結果、プラズマ中での重
合段階とは別に行われるエッチング段階およびクリアリング段階の異方性が、こ
の効果によって著しく高められる。
【0074】 重合段階は、重合中に、テフロン様ポリマー層が側壁またはエッチングベース
上に沈降するのに十分な長さになるように選択される。ポリマー層の厚さは、約
1nmから100nmであり、好ましくは10nmから75nmであり、最も好ましくは約40nmか
ら50nmである。上記の厚さのポリマーを基板に付着させるには約2秒ないし60秒
の時間が必要である。
【0075】 使用されるすべての媒体において、高密度の反応性種と、生成されたイオンが
基板に到達する、低いが厳密に調節可能なエネルギーを有するイオンとを実現す
ることが重要である。
【0076】 当業者には、ここに開示された本発明の明細書および実施例を検討することに
よって、本発明の他の態様が明らかであると思われる。明細書は例示的なものに
過ぎないとみなされるものであり、本発明の真の範囲および趣旨は、特許請求の
範囲によって示されている。また、ここで引用されたすべての文献は、参照とし
て本明細書に特に組み入れられている。
【図面の簡単な説明】
【図1】 表面微細形状の性質による1つの可能な電荷分布構成を示す、ト
レンチの断面図である。
【図2】 シリコン・酸化物界面に沿った電荷の蓄積が示された、トレンチ
を示す図である。
【図3】 下側の酸化物の、キャパシタとしての効果および充電との関係を
表わす電気回路図である。
【図4】 8.5分間のエッチングの後に幅が4μm以上のトレンチの、シリコ
ン/酸化物界面で起こるアンダカットを示すSEM写真である。
【図5】 2つの異なる低RIE電力に対するラグ%とトレンチ幅との関係を示
すグラフである。
【図6】 右側の2つのトレンチが酸化物までエッチングされており、かつ
アンダカットの兆候が見られないSEM写真である。変化するエッチング深さから
ラグが明白である。より幅の狭いトレンチはより大きなラグを示している。
【図7】 側壁が図6と比べてより直線的であり、かつアンダカットがほと
んど存在しない、2μmないし10μmのトレンチ幅の範囲を示すSEM写真である。
───────────────────────────────────────────────────── フロントページの続き (81)指定国 EP(AT,BE,CH,CY, DE,DK,ES,FI,FR,GB,GR,IE,I T,LU,MC,NL,PT,SE),JP,KR (72)発明者 デブレ マイケル ダブリュー. アメリカ合衆国 フロリダ州 セイフティ ー ハーバー ヒルサイド レーン 3130 Fターム(参考) 5F004 AA05 BA09 BB21 BB22 BB25 CA02 CA04 CA06 CA09 DA00 DA01 DA17 DA18 DA23 DB01 DB23 EA13 EA28 EB04 5F032 AA03 AC02 BA01 CA07 DA25

Claims (19)

    【特許請求の範囲】
  1. 【請求項1】 反応性イオン・エッチングによってシリコン基板をエッチン
    グする段階を含む、シリコン基板のエッチングの間にシリコン・絶縁体界面での
    アンダカットを防止する方法であって、イオン密度が低減され、それによって、
    エッチング中に形成される側壁の垂直エッチングが行われる方法。
  2. 【請求項2】 少なくとも1つのポリマー形成化合物をプラズマに導入する
    ことによる重合をさらに含み、シリコンの露出された表面上に化合物が付着し、
    それによって一時的なコーティング層が形成される、請求項1記載の方法。
  3. 【請求項3】 反応性イオン・エッチングおよび重合が循環的であり繰り返
    される、請求項2記載の方法。
  4. 【請求項4】 以下の段階を含む、シリコン基板のエッチングの間にシリコ
    ン・絶縁体界面でのアンダカットを防止する方法: a)反応性エッチングガスをシリコンに接触させ、シリコンの表面から材料を
    除去し、エッチングされた表面を製造することによる異方性プラズマ・エッチン
    グ; b)少なくとも1つのポリマー形成化合物を重合し、シリコンの露出された表面
    上に付着させ、それにより、この化合物をプラズマに導入することによって一時
    的なコーティング層を形成する段階;および c)シリコンの表面に衝突する反応性イオンがプラズマ中に生じる、約109イオ
    ン/cm3より少ない低減されたイオン密度を有する反応性イオン・エッチング。
  5. 【請求項5】 エッチング(a)が、プラズマ中に実質的にポリマー形成化
    合物を含まずに行われる、請求項4記載の方法。
  6. 【請求項6】 エッチング(a)によって形成された横方向に画定された凹
    部構造に付着(b)時に塗布されたポリマーが、反応性イオン・エッチング(c)
    中に部分的にエッチングされる、請求項4記載の方法。
  7. 【請求項7】 反応性エッチングガスが、六フッ化イオウとアルゴンの混合
    物である、請求項4記載の方法。
  8. 【請求項8】 第1のエッチング段階が、シリコンの表面から材料を事前に
    選択された深さまで除去し、かつ該第1のエッチング段階が、事前に選択された
    エッチング深さを与える期間にわたって行われる、請求項4記載の方法。
  9. 【請求項9】 反応性イオン・エッチング(c)で使用される反応性イオン
    が、F2、SiF4、C2F6、MoF5、WF6、XeF2、SF6、C3F8、NF3、CHF3、およびCF4から
    成る群より選択される、請求項4記載の方法。
  10. 【請求項10】 シリコン表面が、プラズマ・エッチングの前にパターン化
    される、請求項4記載の方法。
  11. 【請求項11】 エッチング(a)および付着(b)が、反応性イオン・エッ
    チング(c)を行う前に交互に繰り返される、請求項4記載の方法。
  12. 【請求項12】 反応性イオン・エッチングの後に追加の重合工程をさらに
    含む、請求項4記載の方法。
  13. 【請求項13】 追加の重合工程および反応性イオン・エッチングが循環的
    であり繰り返される、請求項12記載の方法。
  14. 【請求項14】 約109イオン/cm3より少ないイオン電流密度を実現するの
    に十分なイオン速度、イオン密度、イオン質量、バイアス、電力、および圧力で
    の反応性イオン・エッチングの方法。
  15. 【請求項15】 供給される電力が約5ワットから500ワットの範囲である、
    シリコン基板に低密度電源を印加する段階をさらに含む、請求項1記載の方法。
  16. 【請求項16】 供給される電力が約5ワットから500ワットの範囲である、
    反応性イオン・エッチング(c)の間にシリコン基板に低密度電源を印加する段
    階をさらに含む、請求項4記載の方法。
  17. 【請求項17】 エッチングの間に使用されるガスの流量が約100sccmから2
    00sccmであり、かつ重合の間に使用されるガスの流量が約0sccmから100sccmであ
    る、請求項1または4記載の方法。
  18. 【請求項18】 エッチング工程、重合工程、および反応性イオン・エッチ
    ング工程の圧力が約1mTから500mTである、請求項1または4記載の方法。
  19. 【請求項19】 工程の温度が約15℃から25℃である、請求項1または4記載
    の方法。
JP2000553985A 1998-06-08 1999-06-08 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程 Pending JP2002518825A (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US8846098P 1998-06-08 1998-06-08
US60/088,460 1998-07-31
US09/127,762 US6071822A (en) 1998-06-08 1998-07-31 Etching process for producing substantially undercut free silicon on insulator structures
US09/127,762 1998-07-31
PCT/US1999/011809 WO1999065065A1 (en) 1998-06-08 1999-06-08 Etching process for producing substantially undercut free silicon on insulator structures

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2010157388A Division JP2010283362A (ja) 1998-06-08 2010-07-12 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程

Publications (2)

Publication Number Publication Date
JP2002518825A true JP2002518825A (ja) 2002-06-25
JP2002518825A5 JP2002518825A5 (ja) 2006-07-20

Family

ID=26778680

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2000553985A Pending JP2002518825A (ja) 1998-06-08 1999-06-08 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程
JP2010157388A Pending JP2010283362A (ja) 1998-06-08 2010-07-12 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2010157388A Pending JP2010283362A (ja) 1998-06-08 2010-07-12 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程

Country Status (5)

Country Link
US (1) US6071822A (ja)
EP (1) EP1110235A1 (ja)
JP (2) JP2002518825A (ja)
KR (1) KR100613842B1 (ja)
WO (1) WO1999065065A1 (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006062085A1 (ja) * 2004-12-06 2006-06-15 Matsushita Electric Industrial Co., Ltd. ドライエッチング方法及びドライエッチング装置
JP2010263132A (ja) * 2009-05-11 2010-11-18 Hitachi High-Technologies Corp ドライエッチング方法
JP2011100760A (ja) * 2009-11-04 2011-05-19 Ulvac Japan Ltd エッチング方法
JP2014013821A (ja) * 2012-07-04 2014-01-23 Samco Inc 高アスペクト比の凹凸構造を有するシリコン基板の製造方法
JP7257088B1 (ja) 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Families Citing this family (50)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6417013B1 (en) 1999-01-29 2002-07-09 Plasma-Therm, Inc. Morphed processing of semiconductor devices
AU2114001A (en) * 1999-10-15 2001-04-23 California Institute Of Technology Formation of smooth vertical surface on an optical component
US6593244B1 (en) * 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
US6402301B1 (en) 2000-10-27 2002-06-11 Lexmark International, Inc Ink jet printheads and methods therefor
KR100378353B1 (ko) * 2001-03-12 2003-03-29 삼성전자주식회사 Rie 식각시 발생하는 노칭 저감방법
JP4306149B2 (ja) * 2001-05-28 2009-07-29 株式会社デンソー 半導体装置の製造方法
US6660642B2 (en) 2001-07-25 2003-12-09 Chartered Semiconductor Manufacturing Ltd. Toxic residual gas removal by non-reactive ion sputtering
JP4117450B2 (ja) * 2002-03-18 2008-07-16 株式会社デンソー 半導体装置の製造方法
US6846746B2 (en) * 2002-05-01 2005-01-25 Applied Materials, Inc. Method of smoothing a trench sidewall after a deep trench silicon etch process
US7052117B2 (en) 2002-07-03 2006-05-30 Dimatix, Inc. Printhead having a thin pre-fired piezoelectric layer
US6905626B2 (en) * 2002-07-24 2005-06-14 Unaxis Usa Inc. Notch-free etching of high aspect SOI structures using alternating deposition and etching and pulsed plasma
DE10246063A1 (de) * 2002-10-02 2004-04-22 Robert Bosch Gmbh Verfahren zum anisotropen Ätzen eines Siliziumsubstrates
US7169695B2 (en) * 2002-10-11 2007-01-30 Lam Research Corporation Method for forming a dual damascene structure
US6833325B2 (en) * 2002-10-11 2004-12-21 Lam Research Corporation Method for plasma etching performance enhancement
US7977390B2 (en) 2002-10-11 2011-07-12 Lam Research Corporation Method for plasma etching performance enhancement
DE10247913A1 (de) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
US6916746B1 (en) * 2003-04-09 2005-07-12 Lam Research Corporation Method for plasma etching using periodic modulation of gas chemistry
US7294580B2 (en) * 2003-04-09 2007-11-13 Lam Research Corporation Method for plasma stripping using periodic modulation of gas chemistry and hydrocarbon addition
EP1614155A2 (de) * 2003-04-17 2006-01-11 X-FAB Semiconductor Foundries AG Teststruktur zur elektrischen ueberpruefung der tiefen von trench-aetzungen in einem soi wafer und zugehoerige arbeitsverfahren
DE10317748B4 (de) * 2003-04-17 2008-10-30 X-Fab Semiconductor Foundries Ag Verfahren zur Überprüfung von Isoliergrabenätzungen in SOI-Scheiben mittels einer Teststruktur
US20050112891A1 (en) * 2003-10-21 2005-05-26 David Johnson Notch-free etching of high aspect SOI structures using a time division multiplex process and RF bias modulation
US7081407B2 (en) * 2003-12-16 2006-07-25 Lam Research Corporation Method of preventing damage to porous low-k materials during resist stripping
US7281778B2 (en) 2004-03-15 2007-10-16 Fujifilm Dimatix, Inc. High frequency droplet ejection device and method
US8491076B2 (en) 2004-03-15 2013-07-23 Fujifilm Dimatix, Inc. Fluid droplet ejection devices and methods
US7396769B2 (en) * 2004-08-02 2008-07-08 Lam Research Corporation Method for stripping photoresist from etched wafer
US7459100B2 (en) * 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
KR101457457B1 (ko) 2004-12-30 2014-11-05 후지필름 디마틱스, 인크. 잉크 분사 프린팅
US7491647B2 (en) * 2005-03-08 2009-02-17 Lam Research Corporation Etch with striation control
US7241683B2 (en) * 2005-03-08 2007-07-10 Lam Research Corporation Stabilized photoresist structure for etching process
JP4275096B2 (ja) * 2005-04-14 2009-06-10 パナソニック株式会社 半導体チップの製造方法
US20060261436A1 (en) * 2005-05-19 2006-11-23 Freescale Semiconductor, Inc. Electronic device including a trench field isolation region and a process for forming the same
JP4512533B2 (ja) * 2005-07-27 2010-07-28 住友精密工業株式会社 エッチング方法及びエッチング装置
US7910489B2 (en) * 2006-02-17 2011-03-22 Lam Research Corporation Infinitely selective photoresist mask etch
US7491622B2 (en) * 2006-04-24 2009-02-17 Freescale Semiconductor, Inc. Process of forming an electronic device including a layer formed using an inductively coupled plasma
US7670895B2 (en) 2006-04-24 2010-03-02 Freescale Semiconductor, Inc Process of forming an electronic device including a semiconductor layer and another layer adjacent to an opening within the semiconductor layer
US20070249127A1 (en) * 2006-04-24 2007-10-25 Freescale Semiconductor, Inc. Electronic device including a semiconductor layer and a sidewall spacer and a process of forming the same
US7528078B2 (en) 2006-05-12 2009-05-05 Freescale Semiconductor, Inc. Process of forming electronic device including a densified nitride layer adjacent to an opening within a semiconductor layer
JP4812512B2 (ja) * 2006-05-19 2011-11-09 オンセミコンダクター・トレーディング・リミテッド 半導体装置の製造方法
KR100875442B1 (ko) 2006-12-28 2008-12-23 주식회사 래디언테크 웨이퍼 식각 방법
US7988247B2 (en) 2007-01-11 2011-08-02 Fujifilm Dimatix, Inc. Ejection of drops having variable drop size from an ink jet printer
US8467221B2 (en) 2010-07-09 2013-06-18 International Business Machines Corporation Magnetic spin shift register memory
US8802545B2 (en) 2011-03-14 2014-08-12 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US9105705B2 (en) * 2011-03-14 2015-08-11 Plasma-Therm Llc Method and apparatus for plasma dicing a semi-conductor wafer
US8637381B2 (en) * 2011-10-17 2014-01-28 International Business Machines Corporation High-k dielectric and silicon nitride box region
US8691698B2 (en) 2012-02-08 2014-04-08 Lam Research Corporation Controlled gas mixing for smooth sidewall rapid alternating etch process
US9892931B2 (en) * 2013-10-14 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor manufacturing apparatus and method thereof
CN105448697B (zh) * 2014-07-18 2018-05-01 中微半导体设备(上海)有限公司 高深宽比结构的刻蚀方法及mems器件的制作方法
US11173486B2 (en) * 2019-02-13 2021-11-16 International Business Machines Corporation Fluidic cavities for on-chip layering and sealing of separation arrays
JP7382578B2 (ja) * 2019-12-27 2023-11-17 パナソニックIpマネジメント株式会社 プラズマ処理方法および素子チップの製造方法
US20230335378A1 (en) * 2020-09-18 2023-10-19 Lam Research Corporation Passivation chemistry for plasma etching

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07503815A (ja) * 1992-12-05 1995-04-20 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング ケイ素の異方性エッチング法
JPH0845903A (ja) * 1994-07-27 1996-02-16 Hitachi Ltd プラズマエッチング方法
JPH09129610A (ja) * 1995-10-26 1997-05-16 Yamaha Corp ドライエッチング方法及び装置

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4528066A (en) * 1984-07-06 1985-07-09 Ibm Corporation Selective anisotropic reactive ion etching process for polysilicide composite structures
KR900013595A (ko) * 1989-02-15 1990-09-06 미다 가쓰시게 플라즈마 에칭방법 및 장치
JP2574094B2 (ja) * 1992-02-27 1997-01-22 株式会社日本製鋼所 エッチング方法
US5854138A (en) * 1997-07-29 1998-12-29 Cypress Semiconductor Corp. Reduced-particle method of processing a semiconductor and/or integrated circuit

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07503815A (ja) * 1992-12-05 1995-04-20 ローベルト ボツシユ ゲゼルシヤフト ミツト ベシユレンクテル ハフツング ケイ素の異方性エッチング法
JPH0845903A (ja) * 1994-07-27 1996-02-16 Hitachi Ltd プラズマエッチング方法
JPH09129610A (ja) * 1995-10-26 1997-05-16 Yamaha Corp ドライエッチング方法及び装置

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2006062085A1 (ja) * 2004-12-06 2006-06-15 Matsushita Electric Industrial Co., Ltd. ドライエッチング方法及びドライエッチング装置
JP2010263132A (ja) * 2009-05-11 2010-11-18 Hitachi High-Technologies Corp ドライエッチング方法
JP2011100760A (ja) * 2009-11-04 2011-05-19 Ulvac Japan Ltd エッチング方法
JP2014013821A (ja) * 2012-07-04 2014-01-23 Samco Inc 高アスペクト比の凹凸構造を有するシリコン基板の製造方法
JP7257088B1 (ja) 2022-03-24 2023-04-13 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム
JP2023143686A (ja) * 2022-03-24 2023-10-06 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理システム

Also Published As

Publication number Publication date
JP2010283362A (ja) 2010-12-16
KR20010052677A (ko) 2001-06-25
WO1999065065B1 (en) 2000-08-03
KR100613842B1 (ko) 2006-08-17
WO1999065065A1 (en) 1999-12-16
EP1110235A1 (en) 2001-06-27
US6071822A (en) 2000-06-06
WO1999065065A9 (en) 2000-07-06

Similar Documents

Publication Publication Date Title
JP2002518825A (ja) 実質的にアンダカットのないシリコンを絶縁体構造上に作製するエッチング工程
JP4601113B2 (ja) 基板の異方性エッチング方法
US5501893A (en) Method of anisotropically etching silicon
Jansen et al. The black silicon method II: The effect of mask material and loading on the reactive ion etching of deep silicon trenches
US7517804B2 (en) Selective etch chemistries for forming high aspect ratio features and associated structures
KR100515424B1 (ko) 다양한기판의이방성플라즈마에칭방법
EP0814500B1 (en) Method for etching polycide structures
KR19990030049A (ko) 병 모양 트렌치 형성 방법
EP0649169A2 (en) Etching MoSi2 using SF6, HBr and 02
WO2002065539A1 (en) Method of etching tungsten or tungsten nitride electrode gates in semiconductor structures
US6432832B1 (en) Method of improving the profile angle between narrow and wide features
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
US20040077178A1 (en) Method for laterally etching a semiconductor structure
US4784719A (en) Dry etching procedure
Tadigadapa et al. Dry etching for micromachining applications
JP2728010B2 (ja) プラズマ処理方法
Henry et al. Silicon Etching and Etch Techniques for NEMs and MEMs
GB2401483A (en) A method of etching porous dielectric
Khan et al. A NOVEL SEIF CLEANING PROCESS FOR HIGH ASPECT RATIO SILICON ETCH
Keil et al. PROFILE CONTROL OF SUB-0.3 µm CONTACT ETCH FEATURES IN A MEDIUM-DENSITY OXIDE ETCH REACTOR
Khan et al. ADVANCED DEEP SILICON ETCH TECHNOLOGY FOR TRENCH CAPACITOR, ISOLATION TRENCH, MEMS AND MOEMS APPLICATIONS

Legal Events

Date Code Title Description
RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20050510

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20060523

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060523

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20090310

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20090312

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20090609

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20090616

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20090910

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100210

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100507

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100514

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100608

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100615

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20100922