JP4601113B2 - 基板の異方性エッチング方法 - Google Patents

基板の異方性エッチング方法 Download PDF

Info

Publication number
JP4601113B2
JP4601113B2 JP2000055248A JP2000055248A JP4601113B2 JP 4601113 B2 JP4601113 B2 JP 4601113B2 JP 2000055248 A JP2000055248 A JP 2000055248A JP 2000055248 A JP2000055248 A JP 2000055248A JP 4601113 B2 JP4601113 B2 JP 4601113B2
Authority
JP
Japan
Prior art keywords
etching
substrate
during
etching method
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2000055248A
Other languages
English (en)
Other versions
JP2000323454A (ja
Inventor
タマラク・パンドハンソポルン
ケビン・ユイ
マイケル・フエルドボーム
ミシエル・ピユーク
Original Assignee
アルカテル−ルーセント
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by アルカテル−ルーセント filed Critical アルカテル−ルーセント
Publication of JP2000323454A publication Critical patent/JP2000323454A/ja
Application granted granted Critical
Publication of JP4601113B2 publication Critical patent/JP4601113B2/ja
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
  • Weting (AREA)

Description

【0001】
【発明の属する技術分野】
本発明は、エッチング速度および選択性が増加される、好ましくはエッチングマスクで規定された基板の異方性プラズマエッチング方法に関する。この方法は、超小型電気機械システム(micro−electromechanicalsystem、MEMS)ならびに超小型電子装置の製造のために適切に実施することができる。
【0002】
【従来の技術】
特に単結晶シリコンに対する異方性プラズマエッチングは、基板の結晶方位あるいはドーピングのレベルの影響を受けずに加工することが可能である。また、この方法は、ドープされた、あるいはドープされていないポリシリコンに適用される。好ましい応用分野は、構造が高いアスペクト比をもつ、すなわち高い構造上の高さ対幅の比をもつ、MEMSの技術である。その他の例には、アクチュエータ、表面波フィルタ、遅延線等を製造するために、狭い溝と垂直な壁がエッチングされる表面波技術がある。その他の超小型電子技術の応用には、記憶セル、絶縁物、コレクタの接点等がある。
【0003】
通常、異方性シリコンエッチングに用いられる反応性イオンエッチング(RIE)法は、比較的高いエネルギーのイオン(100eV以上)およびフッ素、塩素、あるいは臭素などの反応性ハロゲンを使用し、それらは、直接プラズマで用いられるか、あるいはCF、CFBr、C、CCl、CHClなどの対応する化合物から放出される。その結果得られるエッチング場所、すなわちエッチングされる領域のイオン衝撃が、消耗されるべきシリコンとラジカルとの反応を開始させる。側壁のエッチングは、イオンの指向性により最小限となる。
【0004】
問題は、シリコン除去速度(すなわちエッチング速度)を増すために、プラズマ放電と結び付けられる電力を増加することにより、プラズマ密度を高めようとした場合に起こる。これはプラズマ放電用の電源の電力を増すか、あるいは基板に印加されるバイアス電圧の値を増すことにより、達成することができる。しかしながら電力を増すと、生成されるイオンはより高温になり、またイオンの動く方向はよりランダムになる。その結果、より多くのイオンおよびラジカルが、トレンチの壁によって消耗され、これはエッチング異方性の避けることのできない損失を伴うことになる。この問題を克服するためには、エッチング速度を下げねばならず、その結果処理量は低下する。
【0005】
遭遇するその他の問題は、マスクの劣化である。エッチング速度が低下すると、エッチング時間、したがってマスクの露出時間が増加し、より急速なマスクの劣化、すなわち選択性の低下につながる。
【0006】
米国特許第5,501,893号には、エッチングと重合の交互するステップを含むエッチング方法が開示されている。この重合ステップの目的は、その前のエッチングステップで露出した表面にポリマー層を与え、一時的なエッチングストップを形成する。したがって、側壁はエッチングステップ中にエッチングから保護される。しかしながら、それぞれエッチングおよび重合ステップ中で異なるガス混合物が反復されるように、エッチングおよび重合のステップ中で導入されるガス混合物は、異なっている。エッチングステップでは、ガス混合物はSFおよびArを含み、重合ステップでは、ガス混合物はCHFおよびArを含む。
【0007】
異なるガスを反復させることに伴う問題は、エッチング/堆積サイクルの時間比が、ガス混合物の速度に依存し、逐次変化して一様性に影響を及ぼす。また、種がエッチングされたトレンチの底に達する時間は、トレンチが異なるサイズの開口部をもつと著しく変化する。また、この方法は、一般により複雑な装置を必要とし、サイクルの中に2つの異なるガス混合物を導入するように制御する。
【0008】
ポリマーを生成する不飽和モノマーと、フッ素、臭素、あるいはヨウ素のラジカルとを共存させて、プラズマをできるだけ冷却させることが望ましい。イオン化にとって十分なエネルギーレベルは、個々のガスに対して異なる。場合によっては、ポリマー生成ガス(C、CHF)に対する活性化エネルギーは、ラジカル生成ガス(SF)に対するものより2倍大きい。
【0009】
【発明が解決しようとする課題】
本発明の目的は、エッチングおよびパッシベートの両者に対する最適条件に到達するために、反応性ガスの活性化エネルギーの違いを用いることにより、また高アスペクト比および高選択性のエッチング方法を生じさせるために、速い速度でこれらの条件を交互させることにより、エッチングすべきシリコン表面の処理を高める方法を確立することである。
【0010】
【課題を解決するための手段】
本発明の目的は、下記ステップを含む、基板(一般にはシリコン)の異方性プラズマエッチング方法を提供することにより達成され、そのステップは、
a)電磁石電源に接続された電極上に、選択的にエッチングすべき表面を有する基板を置くステップと、
b)エッチングガス(SF)およびパッシベートガス(CHF、C等)からなる混合ガスを、処理チャンバ中に導入するステップと、
c)混合ガスを、エッチング用のイオンおよびラジカルを含むプラズマを生成するのに十分な、より低い電力(100〜800W)の電磁放射で励起させるステップと、
d)ステップc)と同時に、電磁石電源を介して基板に高いバイアス電圧(50〜500eV)を印加し、きわめて高い異方性エッチングを生じるステップと、
e)プラズマ中に不飽和モノマーを生成させ、保護用ポリマーコーティングを形成するために、高電力(1000〜3000W)の電磁放射で混合ガスを励起するステップと、
f)ステップe)と同時に、基板に低いバイアス電圧(0〜25eV)を印加し、エッチングすべき表面の露出した側壁上に絶縁保護ポリマーコーティングを形成するステップと、
ステップc)およびd)と、ステップe)およびf)とを交互させ、現在他の方法を用いて行われているものよりも、高いエッチング速度および選択性で異方性エッチングを行うステップとを含む。
【0011】
本発明で用いた方法は、冷却媒体としてヘリウムガスを用いることなく、基板をエッチングすることを可能にする。これは、より低い電力が、エッチングガスの励起に用いられ、工程中に発生する熱をより少なくすることになるためである。
【0012】
さらに本発明の利点は、工程中に、混合ガスの一定の流れが処理チャンバ中に注入され、その結果、より安定かつ再現性のある工程になることである。
【0013】
以下、本発明について添付の図面と関連して詳細に説明する。
【0014】
【発明の実施の形態】
以下は、図1および図2aから図2cに関連する本発明の詳細な説明である。図1を参照すると、装置は、エッチングチャンバ10を含む。基板14を保持する基板ホルダ12、およびチャンバ10の上部近くに設けられた誘導カプラ16が、チャンバ10の中に設けられる。基板ホルダ12は、基板14のバイアス用発振器18と電気的に接続された電極であり、発振器は、電源19および整合回路網21を含む。処理ガスをチャンバに導入するため、注入口の管路20が、チャンバの上部に位置している。処理ガスは、ガス容器22、24、および26の中に貯蔵される。チャンバ10への処理ガスの流量は、制御バルブ28により制御される。プラズマ誘導は、RF電源30および結合される整合回路網32により電力を供給された誘導カプラ16により生じる。チャンバ10中の圧力は、機械式ポンプ34、ターボ分子ポンプ36、およびスロットルバルブ38により、従来の方法で制御される。もちろん本発明は、この特定の装置に限定されるものではない。
【0015】
次に図2a〜図2cと関連して、基板のエッチング方法を説明する。シリコン基板40、および異方性のエッチングを施そうとしているシリコン基板40の領域を露出するエッチングマスク42を含む基板14を、基板ホルダ/電極12上に置き、第1エッチングステップにかける。このステップにおいては、好ましくは100から400sccmの範囲の一定流量、および0.1から10Paの範囲の圧力をもち、エッチングガスとパッシベートガス(例えばSF、C、およびCHF)とを含んでいる混合ガスを、チャンバ10に導入する。プラズマは、好ましくは100から800Wの範囲の比較的低いRF電力を、電源30から印加することにより誘導される。同時に、50から500eV、好ましくは80から300eVの範囲の比較的高い値の電場を生じさせるために、バイアス電圧が、基板の発振器18により与えられる。プラズマ誘導のために印加した低いRF電力が、非常に高いレートを有する指向性エッチングを与える。特にプラズマは、イオンの指向性の制御が可能なように印加された低いエネルギーにより、「低温」である。また、基板14の高電位は、エッチングされる表面に向かってイオンを強く加速する。両方の要因が、イオンの優れた指向性を生じ、結果として高い異方性エッチングを生じる。図2aに、シリコン基板40のエッチングされた部分44を示す。
【0016】
一定時間、例えば10〜100秒後、プラズマの高エネルギー励起状態を作り出すために、電源30を比較的高い電力、好ましくは1000から3000Wの範囲に切り替え、また基板の発振器により発生したバイアス電位を、比較的低い、あるいはほぼゼロの値(すなわち0〜25eV)に下げる。プラズマの高エネルギー励起は、ポリマー層46の形成に帰着する条件を作り出す。さらに、種の等方性運動は、図2bに示すように、トレンチの底のポリマー層46の厚さを側壁上の厚さと同じにする。この間、基板14上の低いバイアスにより、いかなるエッチングも妨げられる。一定時間、例えば0.5〜3秒後、図2bに示すように、所定の厚さの保護ポリマー層46が、トレンチの壁上に形成される。この保護ポリマー層46は、上記で論じられた方法で行うこれに続くエッチングステップ中、その前のエッチングステップで形成されたトレンチの壁が浸食されるのを妨げる。
【0017】
特に、次のエッチングステップ中、その前にエッチングされた側壁は、ポリマー層46により保護されたままであり、一方トレンチの底のポリマー層は、図2cの参照符号48で識別されるように、高いバイアス電位により加速される異方性のイオン衝撃により急速に剥ぎ取られ、トレンチの基部でシリコンがさらにエッチングされることを可能にする。エッチングおよびパッシベートステップは、トレンチが所望の深さに達するまで交互に繰り返される。
【0018】
上記で論じた従来の方法と比べた場合、この方法にはいくつかの利点がある。具体的には、本発明により一定のガス混合物を連続的に導入する結果、種はより均一に分布することになる。これは基板の全体にわたって、より優れた一様性を与える。これとは対照的に、ガスが反復される従来の場合、エッチング/堆積サイクルの時間比が、ガスの速度に依存し、逐次変化して一様性に影響を及ぼす。
【0019】
さらに、反復されるガス法を用いる場合、種がエッチングされたトレンチの底に到着する時間は、異なるサイズの開口部をもつトレンチに対しては著しく異なる。これは、異なるアスペクト比をもつトレンチに対し、達成される深さのばらつきを増加させる(Aspect Ratio Dependent Etch(アスペクト比依存性エッチング))。ガス混合物が一定な本方法の場合には、ガス組成は、異なるサイズの開口部をもつトレンチに対して均一であることになる。これはまた、一様性を改善する(マイクロローディング効果)。
【0020】
さらに、本発明の方法は、エッチングの間に用いられる低い電力と、堆積の間に用いられる低いバイアス電圧の組合せにより、マスク材料に対してきわめて高い選択性を提供する。
【0021】
本発明の精神から逸脱することなく多くの変更を行うことができるので、前記の説明に含まれ、また添付の図面に示された全ての事柄は、例示であって限定するものではないと解されることを意図している。例えば、本発明は、上記で論じたエッチングガスおよびパッシベートガスのリストには限定されない。その他のシリコンエッチングガスには、CF、NF、NFHF、HBr、CCl、CFCl、CFCl、Br、Cl、I、HCl、ClF、およびBClがある。また、その他のパッシベートガスには、CH、CH、H、C、C、CHBr、C、C、およびCがある。
【0022】
これに加えて、エッチングおよびパッシベートの作用を、チャンバ内の圧力を交互させることによって達成してもよい。特に、パッシベートの間のポリマーの形成は、圧力により生じる。圧力が高いほどポリマーの形成は激しく、したがってパッシベートの程度は大きい。対照的に、圧力が低いほどポリマーの形成は弱く、したがってパッシベートの程度は小さい。同様に、エッチングの間の圧力の増加は、エッチングレートを増大し、一方圧力の減少は、エッチング速度を低下させる。
【図面の簡単な説明】
【図1】本発明に用いるエッチング装置を示す概略図である。
【図2a】本発明の方法ステップを示す図である。
【図2b】本発明の方法ステップを示す図である。
【図2c】本発明の方法ステップを示す図である。
【符号の説明】
10 チャンバ
12 基板ホルダ
14 シリコン基板
16 誘導カプラ
18 発振器
20 管路
22、24、26 ガス容器
28 制御バルブ
30 RF電源
42 マスク
44 エッチングされた部分
46 保護ポリマー層

Claims (9)

  1. シリコン基板をエッチングするための異方性エッチング方法であって、
    エッチングガスとパッシベートガスとを含んでいる混合ガスを、エッチングすべきシリコン基板を備えるチャンバ中に導入する導入ステップと、
    第1の時間期間中、前記基板の表面から材料を除去し、それにより露出した表面を得るように、励起電力および基板バイアスを制御することにより、前記混合ガスの存在下で前記基板をエッチングするエッチングステップと、
    第2の時間期間中、前記露出した表面をポリマー層で被覆するように、励起電力および基板バイアスを制御することにより、前記混合ガスの存在下で前記基板をパッシベートするパッシベートステップであって、前記エッチングステップ中およびパッシベートステップ中、前記混合ガスが同じであるパッシベートステップと、
    前記エッチングステップを繰り返すステップとを含み、
    エッチングステップ中の前記励起電力が、前記パッシベートステップ中の励起電力より低く、前記エッチングステップ中の前記基板バイアスが、前記パッシベートステップ中の前記基板バイアスより高い、エッチング方法。
  2. さらに、前記パッシベートステップを繰り返すステップを含む、請求項1に記載のエッチング方法。
  3. 前記エッチングステップが、前記チャンバ中に配置された誘導カプラに100から800Wの範囲のRF電力を印加するステップと、前記基板に電圧を印加して、50から300eVの範囲の基板バイアスを生じさせるステップとを含み、前記パッシベートステップが、前記チャンバ中に配置された誘導カプラに1000から3000Wの範囲のRF電力を印加するステップと、前記基板に電圧を印加して、0から25eVの範囲の基板バイアスを生じさせるステップとを含む、請求項1に記載のエッチング方法。
  4. 前記エッチングステップを、10〜100秒行う、請求項3に記載のエッチング方法。
  5. 前記パッシベートステップを、0.5〜3秒行う、請求項に記載のエッチング方法。
  6. 前記導入ステップが、チャンバ中にSF、C、およびCHFを導入するステップを含む、請求項1に記載のエッチング方法。
  7. 前記チャンバ中のガス圧力が、0.1から10Paの範囲にある、請求項1に記載のエッチング方法。
  8. さらに、前記チャンバ中の圧力を変化させるステップを含む、請求項1に記載のエッチング方法。
  9. 前記導入ステップ中、前記混合ガスが、前記エッチングステップ中および前記パッシベートステップ中に一定の流量で導入される、請求項1に記載のエッチング方法。
JP2000055248A 1999-04-21 2000-03-01 基板の異方性エッチング方法 Expired - Fee Related JP4601113B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US295100 1999-04-21
US09/295,100 US6383938B2 (en) 1999-04-21 1999-04-21 Method of anisotropic etching of substrates

Publications (2)

Publication Number Publication Date
JP2000323454A JP2000323454A (ja) 2000-11-24
JP4601113B2 true JP4601113B2 (ja) 2010-12-22

Family

ID=23136218

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2000055248A Expired - Fee Related JP4601113B2 (ja) 1999-04-21 2000-03-01 基板の異方性エッチング方法

Country Status (5)

Country Link
US (1) US6383938B2 (ja)
EP (1) EP1047122B1 (ja)
JP (1) JP4601113B2 (ja)
AT (1) ATE341099T1 (ja)
DE (1) DE60030905T2 (ja)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050158666A1 (en) * 1999-10-15 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple etch method for etching material etchable with oxygen containing plasma
US6921723B1 (en) * 2002-04-23 2005-07-26 Applied Materials, Inc. Etching method having high silicon-to-photoresist selectivity
DE10247913A1 (de) 2002-10-14 2004-04-22 Robert Bosch Gmbh Plasmaanlage und Verfahren zum anisotropen Einätzen von Strukturen in ein Substrat
US20040077178A1 (en) * 2002-10-17 2004-04-22 Applied Materials, Inc. Method for laterally etching a semiconductor structure
JP4065213B2 (ja) * 2003-03-25 2008-03-19 住友精密工業株式会社 シリコン基板のエッチング方法及びエッチング装置
US20050029226A1 (en) * 2003-08-07 2005-02-10 Advanced Power Technology, Inc. Plasma etching using dibromomethane addition
JP4161857B2 (ja) * 2003-09-10 2008-10-08 株式会社デンソー 半導体装置の製造方法
DE10345402B4 (de) * 2003-09-30 2005-10-13 Infineon Technologies Ag Verfahren zur Bearbeitung einer Halbleiterstruktur mit einer Vertiefung
US7728252B2 (en) * 2004-07-02 2010-06-01 Ulvac, Inc. Etching method and system
US7183215B2 (en) * 2004-07-21 2007-02-27 Hewlett-Packard Development Company, L.P. Etching with electrostatically attracted ions
FR2880469B1 (fr) * 2005-01-03 2007-04-27 Cit Alcatel Dispositif de fabrication d'un masque par gravure par plasma d'un substrat semiconducteur
US20060168794A1 (en) * 2005-01-28 2006-08-03 Hitachi Global Storage Technologies Method to control mask profile for read sensor definition
DE102005031602A1 (de) * 2005-07-06 2007-01-11 Robert Bosch Gmbh Reaktor zur Durchführung eines Ätzverfahrens für einen Stapel von maskierten Wafern und Ätzverfahren
WO2007031778A1 (en) * 2005-09-16 2007-03-22 Aviza Technology Limited A method of etching a feature in a silicone substrate
US8071481B2 (en) * 2009-04-23 2011-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming highly strained source/drain trenches
US8901004B2 (en) * 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
JP5537324B2 (ja) * 2010-08-05 2014-07-02 株式会社東芝 半導体装置の製造方法
US8969210B2 (en) * 2010-09-15 2015-03-03 Tokyo Electron Limited Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
KR101251072B1 (ko) * 2011-07-12 2013-04-12 에이피티씨 주식회사 반도체소자의 식각방법
CN103159163B (zh) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 基片刻蚀方法及基片处理设备
CN104134611B (zh) * 2013-05-03 2017-09-29 无锡华润上华半导体有限公司 硅释放工艺
JP2015032597A (ja) * 2013-07-31 2015-02-16 日本ゼオン株式会社 プラズマエッチング方法
KR102170856B1 (ko) 2014-02-19 2020-10-29 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9978606B2 (en) * 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
CN106653594B (zh) * 2015-10-30 2019-05-28 中微半导体设备(上海)股份有限公司 一种在高宽比硅刻蚀中用于提高侧壁刻蚀效果的方法
US9691625B2 (en) * 2015-11-04 2017-06-27 Lam Research Corporation Methods and systems for plasma etching using bi-modal process gas composition responsive to plasma power level
US9607847B1 (en) * 2015-12-18 2017-03-28 Texas Instruments Incorporated Enhanced lateral cavity etch
CN109804459B (zh) * 2016-09-06 2023-08-04 东京毅力科创株式会社 准原子层蚀刻方法
US9941121B1 (en) 2017-01-24 2018-04-10 International Business Machines Corporation Selective dry etch for directed self assembly of block copolymers
KR20210011974A (ko) * 2018-05-17 2021-02-02 에바텍 아크티엔게젤샤프트 기판 처리 방법 및 진공 증착 장치
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
WO2022085424A1 (ja) * 2020-10-19 2022-04-28 東京エレクトロン株式会社 基板処理方法および基板処理装置
CN117080062B (zh) * 2023-10-13 2024-01-26 无锡邑文微电子科技股份有限公司 碗状刻蚀的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6190421A (ja) * 1984-10-11 1986-05-08 Canon Inc 堆積膜形成方法
JPH0817807A (ja) * 1995-03-15 1996-01-19 Hitachi Ltd プラズマ処理方法

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4784720A (en) 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
JP2603217B2 (ja) 1985-07-12 1997-04-23 株式会社日立製作所 表面処理方法及び表面処理装置
US4666555A (en) * 1985-08-23 1987-05-19 Intel Corporation Plasma etching of silicon using fluorinated gas mixtures
JPS62253785A (ja) 1986-04-28 1987-11-05 Tokyo Univ 間欠的エツチング方法
US4729815A (en) 1986-07-21 1988-03-08 Motorola, Inc. Multiple step trench etching process
KR900007687B1 (ko) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 플라즈마처리방법 및 장치
US4698128A (en) * 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
US4983253A (en) * 1988-05-27 1991-01-08 University Of Houston-University Park Magnetically enhanced RIE process and apparatus
JP2918892B2 (ja) * 1988-10-14 1999-07-12 株式会社日立製作所 プラズマエッチング処理方法
JP2941572B2 (ja) 1992-08-11 1999-08-25 三菱電機株式会社 プラズマエッチング装置及び半導体装置の製造方法
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
DE4420962C2 (de) 1994-06-16 1998-09-17 Bosch Gmbh Robert Verfahren zur Bearbeitung von Silizium
US5779926A (en) 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
US5759921A (en) * 1995-09-21 1998-06-02 Lsi Logic Corporation Integrated circuit device fabrication by plasma etching
US5637189A (en) * 1996-06-25 1997-06-10 Xerox Corporation Dry etch process control using electrically biased stop junctions
EP0822582B1 (en) 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
DE19706682C2 (de) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropes fluorbasiertes Plasmaätzverfahren für Silizium
US5807789A (en) * 1997-03-20 1998-09-15 Taiwan Semiconductor Manufacturing, Co., Ltd. Method for forming a shallow trench with tapered profile and round corners for the application of shallow trench isolation (STI)
DE19736370C2 (de) 1997-08-21 2001-12-06 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silizium

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6190421A (ja) * 1984-10-11 1986-05-08 Canon Inc 堆積膜形成方法
JPH0817807A (ja) * 1995-03-15 1996-01-19 Hitachi Ltd プラズマ処理方法

Also Published As

Publication number Publication date
EP1047122B1 (en) 2006-09-27
ATE341099T1 (de) 2006-10-15
US6383938B2 (en) 2002-05-07
EP1047122A3 (en) 2001-12-05
DE60030905T2 (de) 2007-09-20
EP1047122A2 (en) 2000-10-25
DE60030905D1 (de) 2006-11-09
JP2000323454A (ja) 2000-11-24
US20010044213A1 (en) 2001-11-22

Similar Documents

Publication Publication Date Title
JP4601113B2 (ja) 基板の異方性エッチング方法
US6127273A (en) Process for anisotropic plasma etching of different substrates
US6071822A (en) Etching process for producing substantially undercut free silicon on insulator structures
JP2915807B2 (ja) 六弗化イオウ、臭化水素及び酸素を用いる珪化モリブデンのエッチング
KR101029947B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JP4090492B2 (ja) ケイ素の異方性エッチング法
EP0822582B1 (en) Method of etching substrates
JP4796965B2 (ja) エッチング方法及び装置
JP4657458B2 (ja) 低容量の誘電体層をエッチングするための技術
US8658541B2 (en) Method of controlling trench microloading using plasma pulsing
US4734157A (en) Selective and anisotropic dry etching
US20040072443A1 (en) Method for plasma etching performance enhancement
US6008139A (en) Method of etching polycide structures
JPH06349784A (ja) 基板を異方性プラズマエッチングする方法および装置、および電子部品またはセンサー素子
EP1611603A1 (en) Method for plasma etching using periodic modulation of gas chemistry
KR19990030049A (ko) 병 모양 트렌치 형성 방법
JP2002542623A (ja) 深開口部を形成するためにプラズマ処理室内でシリコン層をエッチングする方法
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
EP0596593B1 (en) Plasma etch process
KR101075045B1 (ko) 플라즈마 에칭 성능 강화를 위한 방법
JP2007141918A (ja) ドライエッチング方法
JPH0626199B2 (ja) エッチング方法
JP4316322B2 (ja) 層間絶縁膜のドライエッチング方法
KR100263611B1 (ko) 트렌치 형성 방법
SMITH High-pressure etching

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20070226

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100209

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20100430

A602 Written permission of extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A602

Effective date: 20100510

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100809

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20100831

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20100928

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131008

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees