US20010044213A1 - Method of anisotropic etching of substrates - Google Patents

Method of anisotropic etching of substrates Download PDF

Info

Publication number
US20010044213A1
US20010044213A1 US09/295,100 US29510099A US2001044213A1 US 20010044213 A1 US20010044213 A1 US 20010044213A1 US 29510099 A US29510099 A US 29510099A US 2001044213 A1 US2001044213 A1 US 2001044213A1
Authority
US
United States
Prior art keywords
etching
substrate
etching process
chamber
passivating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
US09/295,100
Other versions
US6383938B2 (en
Inventor
Tamarak Pandhumsoporn
Kevin Yu
Michael Feldbaum
Michel Puech
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
WSOU Investments LLC
Original Assignee
Alcatel SA
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Alcatel SA filed Critical Alcatel SA
Priority to US09/295,100 priority Critical patent/US6383938B2/en
Assigned to ALCATEL reassignment ALCATEL ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: FELDBAUM, MICHAEL, PANDHUMSOPORN, TAMARAK, PUECH MICHEL, YU, KEVIN
Priority to DE60030905T priority patent/DE60030905T2/en
Priority to EP00400462A priority patent/EP1047122B1/en
Priority to AT00400462T priority patent/ATE341099T1/en
Priority to JP2000055248A priority patent/JP4601113B2/en
Publication of US20010044213A1 publication Critical patent/US20010044213A1/en
Publication of US6383938B2 publication Critical patent/US6383938B2/en
Application granted granted Critical
Assigned to OMEGA CREDIT OPPORTUNITIES MASTER FUND, LP reassignment OMEGA CREDIT OPPORTUNITIES MASTER FUND, LP SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WSOU INVESTMENTS, LLC
Assigned to WSOU INVESTMENTS, LLC reassignment WSOU INVESTMENTS, LLC ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: ALCATEL LUCENT
Anticipated expiration legal-status Critical
Assigned to BP FUNDING TRUST, SERIES SPL-VI reassignment BP FUNDING TRUST, SERIES SPL-VI SECURITY INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: WSOU INVESTMENTS, LLC
Assigned to WSOU INVESTMENTS, LLC reassignment WSOU INVESTMENTS, LLC RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: OCO OPPORTUNITIES MASTER FUND, L.P. (F/K/A OMEGA CREDIT OPPORTUNITIES MASTER FUND LP
Assigned to WSOU INVESTMENTS, LLC reassignment WSOU INVESTMENTS, LLC RELEASE BY SECURED PARTY (SEE DOCUMENT FOR DETAILS). Assignors: TERRIER SSC, LLC
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process

Definitions

  • the invention relates to a method of anisotropic plasma etching of substrates preferably defined with an etching mask in which the etch rate and selectivity is increased.
  • the method can be well implemented for manufacturing microelectromechanical system (MEMS), as well as microelectronic devices.
  • MEMS microelectromechanical system
  • Anisotropic plasma etching can work independent of crystal orientation of the substrate or doping level. This method also applies to doped or undoped polysilicon.
  • Preferred fields of applications are MEMS technology, where structures have a high aspect ratio, i.e., a high structural height to width ratio.
  • Other examples include surface wave technology, where narrow grooves and vertical walls are etched to produce actuators, surface wave filters, delay lines, etc.
  • Additional microelectronics applications include storage cells, insulation, collector contacts, etc.
  • RIE Reactive Ion Etching
  • relatively high energy ions ⁇ 100 eV
  • reactive halogens such as fluorine, chlorine or bromine
  • fluorine, chlorine or bromine reactive halogens
  • the resulting ion bombardment of the etching ground i.e., the area to be etched, initiates the reaction of the radicals with the silicon to be depleted.
  • the etching of the sidewalls is minimal due to the directionality of the ions.
  • U.S. Pat. No. 5,501,893 discloses an etching method that includes alternating etching and polymerizing steps where the purpose of the polymerizing step is to provide a polymer layer on the surfaces that were exposed in the previous etching step to form a temporary etch stop. Thus the side walls are protected from etching during the etching steps.
  • the gas mixtures introduced during the etching and polymerizing steps are different such that different gas mixtures are cycled during the respective etching and polymerizing steps.
  • the gas mixture includes SF 2 and Ar and in the polymerizing step the gas mixture includes CHF 3 and Ar.
  • the object of the invention is to establish a method for enhancing the treatment of the silicon surface being etched, by using the differences in the energies of activation of the reactive gases to arrive at optimal conditions for both etching and passivation, and alternating those conditions at a high rate to produce a high aspect ratio, and high selectivity etch process.
  • the object of the present invention is accomplished by providing a method of anisotropic plasma etching of substrates (typically silicon) comprising the following steps:
  • step (c) concurrent with step (c), applying high polarizing voltage (50-500 eV) to the substrate via its electromagnet power source to produce a highly anisotropic etch;
  • step (e) concurrent with step (e), applying low polarizing voltage (0-25 eV) to the substrate to form a conformal polymer coating on the exposed side walls of the surfaces being etched; and
  • the method used in this invention enables the substrate to be etched without using helium gas as a cooling medium. This is because lower power is used to excite the etching gas resulting in less heat being generated during the process.
  • a further advantage of this invention is that a constant flow of mixed gas is injected into the process chamber during processing, resulting in a process that is more stable and repeatable.
  • FIG. 1 is a schematical view showing the etching device for use in the present invention.
  • FIGS. 2 ( a ) to 2 ( c ) are views showing the process steps of the present invention.
  • the device includes an etching chamber 10 .
  • a substrate holder 12 for holding substrate 14 as well as an inductive coupler 16 provided near the top of the chamber 10 .
  • the substrate holder 12 is an electrode which is electrically connected to generator 18 , including a power supply 19 and a matching network 21 , for polarizing the substrate 14 .
  • generator 18 Located at the top of the chamber is an inlet line 20 for introducing process gases into the chamber.
  • the process gases are stored in gas tanks 22 , 24 and 26 .
  • the flow rate of the process gases into the chamber 10 is controlled by a control valves 28 .
  • the plasma stimulation is provided by inductive coupler 16 powered by an RF power source 30 and an associated matching network 32 .
  • Pressure within the chamber 10 is controlled by mechanical pump 34 , turbo-molecular pump 36 and throttle valve 38 , in the conventional manner. It is of course understood that the invention is not limited to this particular device.
  • the substrate 14 including a silicon substrate 40 and an etching mask 40 that exposes the regions of the silicon substrate 40 that are intended to be anisotropically etched, is placed on the substrate holder/electrode 12 and subjected to the first etching step.
  • a mixture of gases containing etchant and passivating gases e.g., SF 6 , C 4 F 8 , and CHF 3
  • a certain flow rate preferably in the range of 100 to 400 sccm and pressure in the range of 0.1 to 10 Pa
  • the plasma is stimulated by applying a relatively low RF power, preferably in the range of 100 to 800 W, from the power source 30 .
  • a polarization potential is provided by the substrate generator 18 to produce an electrical field of a relatively high value in the range of 50 to 500 eV, and preferably 80-300 eV.
  • the low RF power applied for plasma stimulation provides the directional etch with an extremely high rate. Specifically, the plasma is “cold” due to the low energy applied so that the directionality of the ions can be controlled.
  • the high potential of the substrate 14 provides strong acceleration of the ions toward the etched surface. Both factors provide excellent directionality of the ions, resulting in a high anisotropic etch.
  • the etched portion 44 of the silicon substrate 40 is shown in FIG. 2( a ).
  • the power source 30 switches to a relatively high power, preferably in the range of 1000 to 3000 W, to create high energy excitation of the plasma and the polarization potential developed by the substrate generator is reduced to a relatively low, or even zero, value (i.e. 0-25 eV).
  • High energy excitation of the plasma creates a condition that results in the formation of a polymer layer 46 .
  • isotropic movement of species causes the thickness of the polymer layer 46 on the bottom of the trench to be the same as the thickness on the sidewalls, as shown in FIG. 2( b ).
  • the low polarization on the substrate 14 prevents any etching.
  • the protective polymer layer 46 of a predetermined thickness is formed on the trench walls, as shown in FIG. 2( b ). This protective polymer layer 46 prevents erosion of the trench walls that were formed in the previous etching steps during the subsequent etching step, performed in the manner discussed above.
  • the time for the species to arrive at the bottom of the etched trench varies drastically for trenches with different sized openings. This increases the variation in the depths achieved for trenches with different aspect ratios (Aspect Ratio Dependent Etch).
  • the gas composition will be uniform for trenches with different size openings. This also improves the uniformity (microloading effect).
  • the method of the present invention provides very high selectivity to the mask material, due to the combination of low power used during the etch and low polarization voltage used during deposition.
  • Additional silicon etching gases include CF 4 , NF 3 , NF3HF, HBr, CCL 4 , CF 2 Cl 2 , CFCl 3 , Br 2 , Cl 2 , 12 , HCl, CIF 3 and BCl 3 .
  • additional passivation gases include CH 4 , CH 2 F 2 , H 2 , C 2 H 4 , C 3 H 8 , CH 3 Br, C 2 F 6 , C 2 F 4 , and C 3 F 6 .
  • the etch or passivation effect may be achieved by alternating the pressure in the chamber. Specifically, the polymer formation during passivation is effected by the pressure. The higher the pressure the stronger the polymer formation and hence the greater the degree of passivation. In contrast, the lower the pressure the weaker the polymer formation and hence the lower the degree of passivation. Similarly, an increase in pressure during etching increases the etching rate, while a decrease in pressure decreases the etching rate.

Abstract

A method of plasma etching of silicon that utilizes the plasma to provide laterally defined recess structures through a mask. The method is based on the variation of the plasma parameters to provide a well-controlled anisotropic etch, while achieving a very high etch rate, and a high selectivity with respect to a mask. A mixed gas is introduced into the vacuum chamber after the chamber is evacuated, and plasma is generated within the chamber. The substrate's surface is exposed to the plasma. Power sources are used for formation of the plasma discharge. An integrated control system is used to modulate the plasma discharge power and substrate polarization voltage levels.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention [0001]
  • The invention relates to a method of anisotropic plasma etching of substrates preferably defined with an etching mask in which the etch rate and selectivity is increased. The method can be well implemented for manufacturing microelectromechanical system (MEMS), as well as microelectronic devices. [0002]
  • 2. Background of the Related Art [0003]
  • Anisotropic plasma etching, particularly for single crystal silicon, can work independent of crystal orientation of the substrate or doping level. This method also applies to doped or undoped polysilicon. Preferred fields of applications are MEMS technology, where structures have a high aspect ratio, i.e., a high structural height to width ratio. Other examples include surface wave technology, where narrow grooves and vertical walls are etched to produce actuators, surface wave filters, delay lines, etc. Additional microelectronics applications include storage cells, insulation, collector contacts, etc. [0004]
  • The Reactive Ion Etching (RIE) processes which are commonly used for anisotropic silicon etch employ relatively high energy ions (≧100 eV) and reactive halogens, such as fluorine, chlorine or bromine, which are used directly in the plasma or are released from corresponding compounds, like CF[0005] 4, CF3Br, C2F6, CCI4, CHCI3. The resulting ion bombardment of the etching ground, i.e., the area to be etched, initiates the reaction of the radicals with the silicon to be depleted. The etching of the sidewalls is minimal due to the directionality of the ions.
  • Problems occur when, to increase the speed of silicon removal (i.e., the etch rate), one tries to enhance the plasma density by increasing the power coupled to the plasma discharge. This can be accomplished by either increasing the power of the source for the plasma discharge or by increasing the value of the polarization voltage applied to the substrate. However, as the power is increased more hot ions are produced and the direction of ion movement becomes more random. The results is that more ions and radicals are depleted by the walls of the trenches, with the inevitable loss of anisotropy of the etch. To overcome this problem, one must reduce the etch rate, resulting in the loss of the throughput. [0006]
  • An additional problem encountered is mask degradation. As etch rate is reduced, etch time, and therefore mask exposure time, are increased, leading to more rapid mask degradation, i.e., reduced selectivity. [0007]
  • U.S. Pat. No. 5,501,893 discloses an etching method that includes alternating etching and polymerizing steps where the purpose of the polymerizing step is to provide a polymer layer on the surfaces that were exposed in the previous etching step to form a temporary etch stop. Thus the side walls are protected from etching during the etching steps. However, the gas mixtures introduced during the etching and polymerizing steps are different such that different gas mixtures are cycled during the respective etching and polymerizing steps. In the etching step the gas mixture includes SF[0008] 2 and Ar and in the polymerizing step the gas mixture includes CHF3 and Ar.
  • The problem with cycling different gases is that the time ratio of the etch deposition cycle depends on the speed of the gas mixtures and varies from point to point, affecting the uniformity. Also, the time for species to arrive at the bottom of the etched trench varies drastically for trenches having different sized openings. Also, this method typically requires more complex hardware and controls to introduce the two different gas mixtures in cycles. [0009]
  • It is desirable to make the plasma as cold as possible with coexisting polymer-producing unsaturated monomers and fluorine, bromine or iodine radicals. The energy level sufficient for ionization is different for each gas. In certain cases, the activation energy for polymer-producing gases (C[0010] 4F8, CHF3) is two times higher than for radical producing gases (SF6). The object of the invention is to establish a method for enhancing the treatment of the silicon surface being etched, by using the differences in the energies of activation of the reactive gases to arrive at optimal conditions for both etching and passivation, and alternating those conditions at a high rate to produce a high aspect ratio, and high selectivity etch process.
  • SUMMARY OF THE INVENTION
  • The object of the present invention is accomplished by providing a method of anisotropic plasma etching of substrates (typically silicon) comprising the following steps: [0011]
  • a) placing the substrate with the surface to be selectively etched on an electrode connected to an electromagnet power source; [0012]
  • b) introducing mixed gases consisting of an etching gas (SF[0013] 6) and a passivation gas (CHF3, C4F8, etc.) into the processing chamber;
  • c) exciting the mixed gases with lower power (100-800 W) electromagnetic radiation sufficient to produce a plasma containing ions and radicals for etching; [0014]
  • d) concurrent with step (c), applying high polarizing voltage (50-500 eV) to the substrate via its electromagnet power source to produce a highly anisotropic etch; [0015]
  • e) exciting the mixed gases with high power (1000-3000 W) electromagnetic radiation to produce in the plasma unsaturated monomers for protective polymer coating formation; [0016]
  • f) concurrent with step (e), applying low polarizing voltage (0-25 eV) to the substrate to form a conformal polymer coating on the exposed side walls of the surfaces being etched; and [0017]
  • alternating steps c) and d) with steps e) and f) to achieve an anisotropic etch with a high etch rate and selectivity than is currently being achieved using other methodologies. [0018]
  • The method used in this invention enables the substrate to be etched without using helium gas as a cooling medium. This is because lower power is used to excite the etching gas resulting in less heat being generated during the process. [0019]
  • A further advantage of this invention is that a constant flow of mixed gas is injected into the process chamber during processing, resulting in a process that is more stable and repeatable.[0020]
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The invention is described in detail below in conjunction with the attached drawings in which: [0021]
  • FIG. 1 is a schematical view showing the etching device for use in the present invention; and [0022]
  • FIGS. [0023] 2(a) to 2(c) are views showing the process steps of the present invention.
  • DESCRIPTION OF THE PREFERRED EMBODIMENT
  • The following is a detailed description of the invention with reference to FIGS. 1 and 2([0024] a) to 2(c). Referring to FIG. 1, the device includes an etching chamber 10. Provided within the chamber 10 is a substrate holder 12 for holding substrate 14 as well as an inductive coupler 16 provided near the top of the chamber 10. The substrate holder 12 is an electrode which is electrically connected to generator 18, including a power supply 19 and a matching network 21, for polarizing the substrate 14. Located at the top of the chamber is an inlet line 20 for introducing process gases into the chamber. The process gases are stored in gas tanks 22, 24 and 26. The flow rate of the process gases into the chamber 10 is controlled by a control valves 28. The plasma stimulation is provided by inductive coupler 16 powered by an RF power source 30 and an associated matching network 32. Pressure within the chamber 10 is controlled by mechanical pump 34, turbo-molecular pump 36 and throttle valve 38, in the conventional manner. It is of course understood that the invention is not limited to this particular device.
  • The following is a description of the process of etching the substrate with reference to FIGS. [0025] 2(a) to 2(c). The substrate 14, including a silicon substrate 40 and an etching mask 40 that exposes the regions of the silicon substrate 40 that are intended to be anisotropically etched, is placed on the substrate holder/electrode 12 and subjected to the first etching step. In this step, a mixture of gases containing etchant and passivating gases (e.g., SF6, C4F8, and CHF3) with a certain flow rate, preferably in the range of 100 to 400 sccm and pressure in the range of 0.1 to 10 Pa, is introduced into the chamber 10. The plasma is stimulated by applying a relatively low RF power, preferably in the range of 100 to 800 W, from the power source 30. At the same time, a polarization potential is provided by the substrate generator 18 to produce an electrical field of a relatively high value in the range of 50 to 500 eV, and preferably 80-300 eV. The low RF power applied for plasma stimulation provides the directional etch with an extremely high rate. Specifically, the plasma is “cold” due to the low energy applied so that the directionality of the ions can be controlled. Also, the high potential of the substrate 14 provides strong acceleration of the ions toward the etched surface. Both factors provide excellent directionality of the ions, resulting in a high anisotropic etch. The etched portion 44 of the silicon substrate 40 is shown in FIG. 2(a).
  • After a certain period of time, e.g., 10 to 100 sec, the [0026] power source 30 switches to a relatively high power, preferably in the range of 1000 to 3000 W, to create high energy excitation of the plasma and the polarization potential developed by the substrate generator is reduced to a relatively low, or even zero, value (i.e. 0-25 eV). High energy excitation of the plasma creates a condition that results in the formation of a polymer layer 46. Further, isotropic movement of species causes the thickness of the polymer layer 46 on the bottom of the trench to be the same as the thickness on the sidewalls, as shown in FIG. 2(b). During this time, the low polarization on the substrate 14 prevents any etching. After a certain time, e.g., 0.5 to 3 sec, the protective polymer layer 46 of a predetermined thickness is formed on the trench walls, as shown in FIG. 2(b). This protective polymer layer 46 prevents erosion of the trench walls that were formed in the previous etching steps during the subsequent etching step, performed in the manner discussed above.
  • Specifically, during the next etching step the previously etched sidewalls remain protected by the [0027] polymer layer 46, while the polymer layer on the bottom of the trench is rapidly stripped by the anisotropic bombardment of the ions that are accelerated by the high polarizing potential, allowing the silicon at the base of the trench to be further etched, as identified by reference numeral 48 in FIG. 2(c). The etching and passivating steps are alternately repeated until the trench reaches the required depth.
  • There are several advantages of this process when compared to the conventional process discussed above. In particular, the continuous introduction of a constant mixture of gases according to the present invention results in a more even distribution of species. This provides better uniformity across the substrate. In contrast, in the conventional case, where gases are cycled, the time ratio of the etch/deposition cycle depends on the speed of the gases and varies from point to point, affecting the uniformity. [0028]
  • Further, when using the cycled gas method, the time for the species to arrive at the bottom of the etched trench varies drastically for trenches with different sized openings. This increases the variation in the depths achieved for trenches with different aspect ratios (Aspect Ratio Dependent Etch). In the present case where the gas mixture is constant, the gas composition will be uniform for trenches with different size openings. This also improves the uniformity (microloading effect). [0029]
  • Further, the method of the present invention provides very high selectivity to the mask material, due to the combination of low power used during the etch and low polarization voltage used during deposition. [0030]
  • Since numerous changes can be made without departing from the spirit of the invention, it is intended that all matter contained in the foregoing description and shown in the accompanying drawings shall be interpreted as illustrative and not in a limiting sense. For example, the invention is not limited to the list of etchant and passivation gases discussed above. Additional silicon etching gases include CF[0031] 4, NF3, NF3HF, HBr, CCL4, CF2Cl2, CFCl3, Br2, Cl2, 12, HCl, CIF3 and BCl3. Also, additional passivation gases include CH4, CH2F2, H2, C2H4, C3H8, CH3Br, C2F6, C2F4, and C3F6.
  • In addition, the etch or passivation effect may be achieved by alternating the pressure in the chamber. Specifically, the polymer formation during passivation is effected by the pressure. The higher the pressure the stronger the polymer formation and hence the greater the degree of passivation. In contrast, the lower the pressure the weaker the polymer formation and hence the lower the degree of passivation. Similarly, an increase in pressure during etching increases the etching rate, while a decrease in pressure decreases the etching rate. [0032]

Claims (14)

What is claimed:
1. An anisotropic etching process for etching a silicon substrate comprising the steps of:
introducing a mixed gas containing etchant and passivating gases into a chamber with the silicon substrate to be etched;
etching said substrate in the presence of said mixed gas by controlling excitation power and substrate bias during a first period of time to remove material from the surface of said substrate and thereby provide an exposed surface;
passivating said substrate in the presence of said mixed gas by controlling excitation power and substrate bias during a second period of time to cover said exposed surface with a polymer layer, wherein said mixed gas is the same during said etching and passivating steps; and
repeating said etching step.
2. The etching process of
claim 1
, further comprising the step of repeating said passivating step
3. The etching process of
claim 1
, wherein said etching step includes the steps of applying RF power to an inductive coupler disposed in said chamber in the range of 100 to 800 W and applying a voltage to said substrate to produce a substrate bias in the range of 50 to 300 eV.
4. The etching process of
claim 3
, wherein said passivating step includes the steps of applying RF power to an inductive coupler disposed in said chamber in the range of 1000 to 3000 W and applying a voltage to said substrate to produce a substrate bias in the range of 0 to 25 eV.
5. The etching process of
claim 3
, wherein said etching step is carried out for a period ranging from 10 to 100 seconds.
6. The etching process of
claim 4
, wherein said etching step is carried out for a period ranging from 10 to 100 seconds.
7. The etching process of
claim 4
, wherein said passivating step is carried out for a period ranging from 0.5 to 3 seconds.
8. The etching process of
claim 5
, wherein said passivating step is carried out for a period ranging from 0.5 to 3 seconds.
9. The etching process of
claim 1
, wherein said introducing step includes the step of introducing SF6, C4F8, and CHF3 into the chamber.
10. The etching process of
claim 1
, wherein a gas pressure in said chamber is in the range of 0.1 to 10 Pa.
11. The etching process of
claim 1
, further comprising the step of varying the pressure in said chamber.
12. The etching process of
claim 1
, wherein during said introducing step said mixed gas is introduced at a constant rate during said etching steps and said passivating step.
13. The etching process of
claim 1
, wherein said excitation power during the etching steps is lower than the excitation power during said passivating step.
14. The etching process of
claim 1
, wherein said substrate bias during said etching steps is lower that said substrate bias during said passivating step.
US09/295,100 1999-04-21 1999-04-21 Method of anisotropic etching of substrates Expired - Lifetime US6383938B2 (en)

Priority Applications (5)

Application Number Priority Date Filing Date Title
US09/295,100 US6383938B2 (en) 1999-04-21 1999-04-21 Method of anisotropic etching of substrates
DE60030905T DE60030905T2 (en) 1999-04-21 2000-02-21 Process for the anisotropic etching of substrates
EP00400462A EP1047122B1 (en) 1999-04-21 2000-02-21 Method of anisotropic etching of substrates
AT00400462T ATE341099T1 (en) 1999-04-21 2000-02-21 METHOD FOR THE ANISOTROPIC ETCHING OF SUBSTRATES
JP2000055248A JP4601113B2 (en) 1999-04-21 2000-03-01 Anisotropic etching method for substrates

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US09/295,100 US6383938B2 (en) 1999-04-21 1999-04-21 Method of anisotropic etching of substrates

Publications (2)

Publication Number Publication Date
US20010044213A1 true US20010044213A1 (en) 2001-11-22
US6383938B2 US6383938B2 (en) 2002-05-07

Family

ID=23136218

Family Applications (1)

Application Number Title Priority Date Filing Date
US09/295,100 Expired - Lifetime US6383938B2 (en) 1999-04-21 1999-04-21 Method of anisotropic etching of substrates

Country Status (5)

Country Link
US (1) US6383938B2 (en)
EP (1) EP1047122B1 (en)
JP (1) JP4601113B2 (en)
AT (1) ATE341099T1 (en)
DE (1) DE60030905T2 (en)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040077178A1 (en) * 2002-10-17 2004-04-22 Applied Materials, Inc. Method for laterally etching a semiconductor structure
US20050158666A1 (en) * 1999-10-15 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple etch method for etching material etchable with oxygen containing plasma
US20060016784A1 (en) * 2004-07-21 2006-01-26 Voss Curtis L Etching with electrostatically attracted ions
US20060168794A1 (en) * 2005-01-28 2006-08-03 Hitachi Global Storage Technologies Method to control mask profile for read sensor definition
US20100006427A1 (en) * 2005-07-06 2010-01-14 Joachim Rudhard Reactor for carrying out an etching method for a stack of masked wafers and an etching method
US20120152895A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods for etching a substrate
KR101251072B1 (en) * 2011-07-12 2013-04-12 에이피티씨 주식회사 Method of etching a semiconductor device
CN104134611A (en) * 2013-05-03 2014-11-05 无锡华润上华半导体有限公司 Silicon release technology
CN110010463A (en) * 2015-11-04 2019-07-12 朗姆研究公司 A kind of plasma process system for semiconductor devices manufacture
CN112105754A (en) * 2018-05-17 2020-12-18 瑞士艾发科技 Method for treating substrate and vacuum deposition apparatus
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
CN117080062A (en) * 2023-10-13 2023-11-17 无锡邑文微电子科技股份有限公司 Bowl-shaped etching method

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6921723B1 (en) * 2002-04-23 2005-07-26 Applied Materials, Inc. Etching method having high silicon-to-photoresist selectivity
DE10247913A1 (en) * 2002-10-14 2004-04-22 Robert Bosch Gmbh Process for the anisotropic etching of structures in a substrate arranged in an etching chamber used in semiconductor manufacture comprises using an etching gas and a passivating gas which is fed to the chamber in defined periods
JP4065213B2 (en) * 2003-03-25 2008-03-19 住友精密工業株式会社 Silicon substrate etching method and etching apparatus
US20050029226A1 (en) * 2003-08-07 2005-02-10 Advanced Power Technology, Inc. Plasma etching using dibromomethane addition
JP4161857B2 (en) * 2003-09-10 2008-10-08 株式会社デンソー Manufacturing method of semiconductor device
DE10345402B4 (en) * 2003-09-30 2005-10-13 Infineon Technologies Ag Method for processing a semiconductor structure with a recess
RU2332749C1 (en) * 2004-07-02 2008-08-27 Улвак, Инк. Etching method and etching system
FR2880469B1 (en) * 2005-01-03 2007-04-27 Cit Alcatel DEVICE FOR MANUFACTURING A MASK BY PLASMA ETCHING OF A SEMICONDUCTOR SUBSTRATE
WO2007031778A1 (en) * 2005-09-16 2007-03-22 Aviza Technology Limited A method of etching a feature in a silicone substrate
US8071481B2 (en) * 2009-04-23 2011-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming highly strained source/drain trenches
US8901004B2 (en) * 2009-07-27 2014-12-02 Lam Research Corporation Plasma etch method to reduce micro-loading
JP5537324B2 (en) * 2010-08-05 2014-07-02 株式会社東芝 Manufacturing method of semiconductor device
US8969210B2 (en) * 2010-09-15 2015-03-03 Tokyo Electron Limited Plasma etching apparatus, plasma etching method, and semiconductor device manufacturing method
CN103159163B (en) * 2011-12-19 2016-06-08 北京北方微电子基地设备工艺研究中心有限责任公司 Substrate lithographic method and substrate processing equipment
JP2015032597A (en) * 2013-07-31 2015-02-16 日本ゼオン株式会社 Plasma etching method
KR102170856B1 (en) 2014-02-19 2020-10-29 삼성전자주식회사 Semiconductor device and method for fabricating the same
US9978606B2 (en) * 2015-10-02 2018-05-22 Applied Materials, Inc. Methods for atomic level resolution and plasma processing control
CN106653594B (en) * 2015-10-30 2019-05-28 中微半导体设备(上海)股份有限公司 A method of for improving side wall etching effect in depth-width ratio silicon etching
US9607847B1 (en) * 2015-12-18 2017-03-28 Texas Instruments Incorporated Enhanced lateral cavity etch
TWI757334B (en) * 2016-09-06 2022-03-11 日商東京威力科創股份有限公司 Method of quasi atomic layer etching
US9941121B1 (en) 2017-01-24 2018-04-10 International Business Machines Corporation Selective dry etch for directed self assembly of block copolymers
TW202234510A (en) * 2020-10-19 2022-09-01 日商東京威力科創股份有限公司 Substrate processing method and substrate processing apparatus

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0682616B2 (en) * 1984-10-11 1994-10-19 キヤノン株式会社 Deposited film formation method
US4784720A (en) 1985-05-03 1988-11-15 Texas Instruments Incorporated Trench etch process for a single-wafer RIE dry etch reactor
JP2603217B2 (en) 1985-07-12 1997-04-23 株式会社日立製作所 Surface treatment method and surface treatment device
US4666555A (en) * 1985-08-23 1987-05-19 Intel Corporation Plasma etching of silicon using fluorinated gas mixtures
JPS62253785A (en) 1986-04-28 1987-11-05 Tokyo Univ Intermittent etching method
US4729815A (en) 1986-07-21 1988-03-08 Motorola, Inc. Multiple step trench etching process
KR900007687B1 (en) 1986-10-17 1990-10-18 가부시기가이샤 히다찌세이사꾸쇼 Method and device for plasma processing
US4698128A (en) * 1986-11-17 1987-10-06 Motorola, Inc. Sloped contact etch process
US4983253A (en) * 1988-05-27 1991-01-08 University Of Houston-University Park Magnetically enhanced RIE process and apparatus
JP2918892B2 (en) * 1988-10-14 1999-07-12 株式会社日立製作所 Plasma etching method
JP2941572B2 (en) 1992-08-11 1999-08-25 三菱電機株式会社 Plasma etching apparatus and method for manufacturing semiconductor device
DE4241045C1 (en) 1992-12-05 1994-05-26 Bosch Gmbh Robert Process for anisotropic etching of silicon
DE4420962C2 (en) 1994-06-16 1998-09-17 Bosch Gmbh Robert Process for processing silicon
US5779926A (en) 1994-09-16 1998-07-14 Applied Materials, Inc. Plasma process for etching multicomponent alloys
US5716534A (en) 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
JP2728010B2 (en) * 1995-03-15 1998-03-18 株式会社日立製作所 Plasma processing method
US5759921A (en) * 1995-09-21 1998-06-02 Lsi Logic Corporation Integrated circuit device fabrication by plasma etching
US5637189A (en) * 1996-06-25 1997-06-10 Xerox Corporation Dry etch process control using electrically biased stop junctions
EP0822582B1 (en) 1996-08-01 2003-10-01 Surface Technology Systems Plc Method of etching substrates
DE19706682C2 (en) 1997-02-20 1999-01-14 Bosch Gmbh Robert Anisotropic fluorine-based plasma etching process for silicon
US5807789A (en) * 1997-03-20 1998-09-15 Taiwan Semiconductor Manufacturing, Co., Ltd. Method for forming a shallow trench with tapered profile and round corners for the application of shallow trench isolation (STI)
DE19736370C2 (en) 1997-08-21 2001-12-06 Bosch Gmbh Robert Process for anisotropic etching of silicon

Cited By (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050158666A1 (en) * 1999-10-15 2005-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple etch method for etching material etchable with oxygen containing plasma
US20040077178A1 (en) * 2002-10-17 2004-04-22 Applied Materials, Inc. Method for laterally etching a semiconductor structure
US20060016784A1 (en) * 2004-07-21 2006-01-26 Voss Curtis L Etching with electrostatically attracted ions
US7183215B2 (en) * 2004-07-21 2007-02-27 Hewlett-Packard Development Company, L.P. Etching with electrostatically attracted ions
US20060168794A1 (en) * 2005-01-28 2006-08-03 Hitachi Global Storage Technologies Method to control mask profile for read sensor definition
US20100006427A1 (en) * 2005-07-06 2010-01-14 Joachim Rudhard Reactor for carrying out an etching method for a stack of masked wafers and an etching method
US20120152895A1 (en) * 2010-12-20 2012-06-21 Applied Materials, Inc. Methods for etching a substrate
US9318341B2 (en) * 2010-12-20 2016-04-19 Applied Materials, Inc. Methods for etching a substrate
KR101251072B1 (en) * 2011-07-12 2013-04-12 에이피티씨 주식회사 Method of etching a semiconductor device
CN104134611A (en) * 2013-05-03 2014-11-05 无锡华润上华半导体有限公司 Silicon release technology
CN110010463A (en) * 2015-11-04 2019-07-12 朗姆研究公司 A kind of plasma process system for semiconductor devices manufacture
CN112105754A (en) * 2018-05-17 2020-12-18 瑞士艾发科技 Method for treating substrate and vacuum deposition apparatus
US11393703B2 (en) * 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
CN117080062A (en) * 2023-10-13 2023-11-17 无锡邑文微电子科技股份有限公司 Bowl-shaped etching method

Also Published As

Publication number Publication date
DE60030905D1 (en) 2006-11-09
JP2000323454A (en) 2000-11-24
DE60030905T2 (en) 2007-09-20
EP1047122A3 (en) 2001-12-05
ATE341099T1 (en) 2006-10-15
JP4601113B2 (en) 2010-12-22
US6383938B2 (en) 2002-05-07
EP1047122A2 (en) 2000-10-25
EP1047122B1 (en) 2006-09-27

Similar Documents

Publication Publication Date Title
US6383938B2 (en) Method of anisotropic etching of substrates
US7049244B2 (en) Method for enhancing silicon dioxide to silicon nitride selectivity
KR100613842B1 (en) Etching process for producing substantially undercut free silicon on insulator structures
KR100804858B1 (en) Etching method and system
US5501893A (en) Method of anisotropically etching silicon
US5300460A (en) UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US20070175856A1 (en) Notch-Free Etching of High Aspect SOI Structures Using A Time Division Multiplex Process and RF Bias Modulation
US20060105577A1 (en) Aspect ratio controlled etch selectivity using time modulated DC bias voltage
EP0814500B1 (en) Method for etching polycide structures
US6794303B2 (en) Two stage etching of silicon nitride to form a nitride spacer
TW200823998A (en) Self-aligned contact etch with high sensitivity to nitride shoulder
US5880033A (en) Method for etching metal silicide with high selectivity to polysilicon
EP0596593B1 (en) Plasma etch process
KR20010042983A (en) Method of forming high aspect ratio apertures
US7736914B2 (en) Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US6402974B1 (en) Method for etching polysilicon to have a smooth surface
US5767017A (en) Selective removal of vertical portions of a film
JP2634334B2 (en) VHF / UHF plasma processing method for forming an integrated circuit structure on a semiconductor wafer
US6080676A (en) Device and method for etching spacers formed upon an integrated circuit gate conductor
EP1149407A2 (en) High aspect ratio sub-micron contact etch process in an inductively-coupled plasma processing system
US6139647A (en) Selective removal of vertical portions of a film
JP2607276B2 (en) Dry etching method
JPH088244B2 (en) Dry etching method
WO2000059024A1 (en) Improved techniques for etching an aluminum neodymium-containing layer

Legal Events

Date Code Title Description
AS Assignment

Owner name: ALCATEL, FRANCE

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:PANDHUMSOPORN, TAMARAK;YU, KEVIN;FELDBAUM, MICHAEL;AND OTHERS;REEL/FRAME:010029/0645

Effective date: 19990614

FEPP Fee payment procedure

Free format text: PAYOR NUMBER ASSIGNED (ORIGINAL EVENT CODE: ASPN); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STCF Information on status: patent grant

Free format text: PATENTED CASE

FPAY Fee payment

Year of fee payment: 4

FPAY Fee payment

Year of fee payment: 8

REMI Maintenance fee reminder mailed
FPAY Fee payment

Year of fee payment: 12

SULP Surcharge for late payment

Year of fee payment: 11

AS Assignment

Owner name: OMEGA CREDIT OPPORTUNITIES MASTER FUND, LP, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNOR:WSOU INVESTMENTS, LLC;REEL/FRAME:043966/0574

Effective date: 20170822

Owner name: OMEGA CREDIT OPPORTUNITIES MASTER FUND, LP, NEW YO

Free format text: SECURITY INTEREST;ASSIGNOR:WSOU INVESTMENTS, LLC;REEL/FRAME:043966/0574

Effective date: 20170822

AS Assignment

Owner name: WSOU INVESTMENTS, LLC, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:ALCATEL LUCENT;REEL/FRAME:044000/0053

Effective date: 20170722

AS Assignment

Owner name: BP FUNDING TRUST, SERIES SPL-VI, NEW YORK

Free format text: SECURITY INTEREST;ASSIGNOR:WSOU INVESTMENTS, LLC;REEL/FRAME:049235/0068

Effective date: 20190516

AS Assignment

Owner name: WSOU INVESTMENTS, LLC, CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:OCO OPPORTUNITIES MASTER FUND, L.P. (F/K/A OMEGA CREDIT OPPORTUNITIES MASTER FUND LP;REEL/FRAME:049246/0405

Effective date: 20190516

AS Assignment

Owner name: WSOU INVESTMENTS, LLC, CALIFORNIA

Free format text: RELEASE BY SECURED PARTY;ASSIGNOR:TERRIER SSC, LLC;REEL/FRAME:056526/0093

Effective date: 20210528