TW201921498A - 選擇性氧化鋁蝕刻的使用 - Google Patents

選擇性氧化鋁蝕刻的使用

Info

Publication number
TW201921498A
TW201921498A TW107133592A TW107133592A TW201921498A TW 201921498 A TW201921498 A TW 201921498A TW 107133592 A TW107133592 A TW 107133592A TW 107133592 A TW107133592 A TW 107133592A TW 201921498 A TW201921498 A TW 201921498A
Authority
TW
Taiwan
Prior art keywords
layer
spacer
gap
oxide
etch stop
Prior art date
Application number
TW107133592A
Other languages
English (en)
Inventor
周清軍
郢 張
林永振
Original Assignee
美商微材料有限責任公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商微材料有限責任公司 filed Critical 美商微材料有限責任公司
Publication of TW201921498A publication Critical patent/TW201921498A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)

Abstract

描述了形成及處理半導體設備的方法,該等方法利用氧化矽及/或氮化矽上方的氧化鋁的選擇性蝕刻。某些實施例與翼片蝕刻的基板的形成相關。其他實施例與從基板移除源汲極蓋相關。另外的實施例與包括具有底部蝕刻停止層及/或襯墊層的通路及/或金屬接點的基板的處理相關。

Description

選擇性氧化鋁蝕刻的使用
本發明的實施例與電子設備製造及用於設備圖案化(patterning)的方法的領域相關。詳細而言,實施例與將氧化鋁的選擇性蝕刻用於圖案化及閘極接點應用中相關。
減少積體電路(IC)的尺寸造成了改良的效能、增加的能力及/或減少的成本。每次的尺寸減少需要更複雜的技術來形成IC。常使用光刻法來在基板上圖案化IC。IC的示例性特徵是可以是金屬、半導體或絕緣體的材料線。線寬是線的寬度,而間隔(spacing)是相鄰的線之間的距離。間距(pitch)被界定為兩條相鄰線上的相同點之間的距離。間距等於線寬及間隔的總和。然而,由於例如光學件及光或輻射波長的因素,光刻技術受最小間距所限,低於該最小間距,特定的光刻技術可能不能可靠地形成特徵。因此,光刻技術的最小間距可限制IC的特徵尺寸減少。
可將例如自對準雙重圖案化(SADP)、自對準四重圖案化(SAQP)及光刻-蝕刻-光刻-蝕刻(LELE)的過程用於將光刻技術的效能延伸於現有光刻配備的最小間距效能之外。在SADP、SAQP或LELE過程之後,將多重切割或阻擋遮罩安置在由SADP、SAQP或LELE過程所產生的線及間隔上方以執行設備圖案化。隨著特徵尺寸減少,間距及線寬亦減少。因此,遮罩邊緣安置控制的精確度必須更高。例如,對於7 nm的節點結構來說,間距約為32 nm,切割或阻擋遮罩的總邊緣安置誤差(EPE)應小於間距的¼,這小於約8 nm。能夠符合此類嚴格的幾何需求的配備是極度昂貴的,且此外,此類嚴格的幾何需求亦造成了低生產率。
因此,存在著用於設備圖案化的改良方法以減少來自圖案錯準的缺陷及增加生產率的需要。
本揭示案的一或更多個實施例針對形成半導體設備的方法。旋轉塗佈碳層、硬質遮罩及光刻膠形成於膜上,該膜包括間隔心軸及間隙填充材料的交錯的行,其中氧化膜在該等行之間。該光刻膠具有該等間隙填充材料中的一者上方的開口。通過該光刻膠中的該開口蝕刻該硬質遮罩及該旋轉塗佈碳層以暴露該等間隙填充材料中的一者的頂部。移除該旋轉塗佈碳層、該硬質遮罩及該間隙填充材料以在該膜中留下間隙。旋轉塗佈碳層、硬質遮罩及光刻膠形成於膜上,該膜包括間隔心軸、間隙填充材料、氧化膜及該間隙的交錯的行。該光刻膠具有該等間隔心軸中的一者上方的開口。通過該光刻膠中的該開口蝕刻該硬質遮罩及該旋轉塗佈碳層以暴露該等間隔心軸中的一者的頂部。移除該旋轉塗佈碳層、該硬質遮罩及該間隔心軸以留下另一間隙。移除該等氧化膜以在基板上的氧化層上的蝕刻停止層上留下間隔心軸及間隙填充材料。移除未被該等間隔心軸或該等間隙填充材料覆蓋的該蝕刻停止層及該氧化層,及減少該等間隔心軸及該等間隙填充材料的高度以暴露該基板的部分。翼片蝕刻該基板的暴露的該等部分,及移除剩餘的該等間隔心軸、該等間隙填充材料、該蝕刻停止層及該氧化層。
在描述本揭示案的若干示例性實施例之前,要瞭解,本揭示案不限於以下說明中所闡述的構造或過程步驟的細節。本揭示案能夠包括其他實施例及用各種方式實行或實現。
如本文中所使用的「基板」指的是任何基板或形成於基板上的材料表面,膜處理在製造過程期間執行於該基板或材料表面上。例如,取決於應用,可於上面執行處理的基板表面包括例如為矽、氧化矽、應變矽、絕緣體上矽(SOI)、摻碳的氧化矽、非晶矽、經摻雜的矽、鍺、砷化鎵、玻璃、藍寶石的材料及例如為金屬、氮化金屬、金屬合金及其他導電材料的任何其他材料。基板包括(但不限於)半導體晶圓。可將基板暴露於預處理過程以拋光、蝕刻、還原、氧化、羥基化、退火及/或烘烤基板表面。除了直接在基板本身的表面上進行膜處理以外,在本揭示案中,亦可如下文更詳細揭露地將所揭露的任何膜處理步驟執行於形成在基板上的下層(under-layer)上,且用語「基板表面」在上下文指示時要包括此類下層。因此,例如,若已將膜/層或部分的膜/層沉積到基板表面上,則新沉積的膜/層的受暴面變成基板表面。
如此說明書及隨附請求項中所使用的,用語「前驅物」、「反應物」、「反應氣體」等等被交替使用以指稱可與基板表面反應的任何氣態物種。
此說明書的任何部分對於「一個實施例」、「某些實施例」、「一或更多個實施例」或「一實施例」的指稱意味著,連同實施例描述的特定特徵、結構、材料或特性是被包括在本揭示案的至少一個實施例中的。因此,此說明書任何部分的各種地方中的例如「在一或更多個實施例中」、「在某些實施例中」、「在一個實施例中」或「在一些實施例中」的短語的出現不一定指涉本揭示案的相同實施例。並且,可在一或更多個實施例中以任何合適的方式結合特定的特徵、結構、材料或特性。
雖然已參照特定的實施例來描述本文中的揭示內容,要瞭解到這些實施例僅說明本揭示案的原理及應用。本領域中的技術人員將理解到,可在不脫離本揭示案的精神及範圍的情況下對本揭示案的方法及裝置作出各種更改及變化。因此,所要的是,本揭示案包括隨附請求項及它們等效物的範圍內的更改及變化。
邊緣安置誤差(EPE)(例如光刻重疊(OL)、間距步移等等)使得圖案化應用中的切割方案是非常具有挑戰性的。本揭示案的一些實施例有利地提供了用來最小化或消除圖案錯準的方法。本揭示案的一或更多個實施例提供了使用了使用方形頂部間隔物的雙色硬質遮罩方案的方法。本揭示案的一些實施例提供了不同時平面化多個膜的方法。
本揭示案的實施例是藉由圖式來描述的,該等圖式將翼片切割過程繪示為使用雙色(AB)硬質遮罩流程的示例性過程流程。本領域中的技術人員將瞭解到,這些翼片切割過程僅說明了所揭露的過程的一個可能的用法,且所揭露的過程並不限於翼片切割應用。圖1到40中的各者被分成A圖解(例如圖1A)及B圖解(例如圖1B)。各個圖式的A圖解是設備的一部分的側視圖。B圖解(除了圖17B以外)是對應的A圖解中所示的該設備的該部分的俯視圖,該B圖解示出了橫截線,該A圖解是在該橫截線上示出的。圖17B是圖17A中所示的設備的透視圖。
本揭示案的第一態樣可稱為具有先切割翼片蝕刻(cut-first fin etch)的間隔物上間隔物(spacer-on-spacer)AB遮罩。此態樣繪示於圖1到17中,包括A及B圖解兩者。圖1A示出包括基板10、氧化層11、蝕刻停止層12、非晶矽層13、旋轉塗佈碳(SOC)層14、硬質遮罩15及具有開口17的光刻膠16的設備的視圖。圖1A中所繪示的設備的個別的層或元件可以由技術人員習知的任何合適的過程所形成。
基板10可以是任何合適的基板材料。在一或更多個實施例中,基板10包括半導體材料,例如矽(Si)、碳(C)、鍺(Ge)、矽鍺(SiGe)、砷化鎵(GaAs)、InP、GaAs、InGaAs、InAlAs、其他半導體材料、或上述材料的任何組合。在一些實施例中,基板10是絕緣體上半導體(SOI)基板,該基板包括主體下基板、中間絕緣層及頂部單晶層。頂部單晶層可包括上文所列舉的任何材料,例如矽。在各種實施例中,基板可以是例如有機、陶瓷、玻璃或半導體基板。雖然本文中描述了幾種可用來形成基板的材料示例,但可充當上面可建造無源及有源電子設備(例如電晶體、記憶體、電容器、電感器、電阻器、開關、積體電路、放大器、光電子設備或任何其他的電子設備)的地基的任何材料皆落在本發明的精神及範圍之內。
在一些實施例中,基板10包括積體電路的一或更多個金屬互連層。在一些實施例中,基板10包括被配置為連接金屬層的互連器(例如通路(via))。在至少一些實施例中,基板10包括電子設備,例如電晶體、記憶體、電容器、電阻器、光電子設備、開關及被電絕緣層(例如層間介電體、溝槽絕緣層或電子設備製造領域中的技術人員所習知的任何其他絕緣層)分開的任何其他有源及無源電子設備。在一個實施例中,基板包括用來適應基板10與基板10上方的一或更多個層之間的晶格失配及用來約束晶格錯位及缺陷的一或更多個緩衝層。
氧化層11可以是任何合適的氧化層。在一個實施例中,氧化層11包括層間介電體(ILD)。在一個實施例中,氧化層11包括低k介電體,該低k介電體包括(但不限於)例如二氧化矽、矽酮氧化物、摻碳氧化物(「CDO」)(例如摻碳二氧化矽)、多孔二氧化矽、氮化矽或其任何組合的材料。
在一個實施例中,氧化層11包括具有小於5的k值的介電材料。在一個實施例中,氧化層11包括具有小於2的k值的介電材料。在一個實施例中,氧化層11的厚度是在從約1奈米(nm)到2微米(µm)的近似範圍中,或在約10 nm到約1微米的範圍中。
在一些實施例中,可使用沉積技術中的一者來沉積氧化層11,該沉積技術例如是(但不限於)化學氣相沉積(「CVD」)、物理氣相沉積(「PVD」)、分子束磊晶(「MBE」)、金屬有機化學氣相沉積(「MOCVD」)、原子層沉積(「ALD」)、旋轉塗佈或微電子設備製造領域中的技術人員所習知的其他絕緣沉積技術。
蝕刻停止層12可以是任何合適的材料,包括(但不限於)高k介電體及陶瓷材料。在一些實施例中,蝕刻停止層12包括d區塊或f區域元素的氧化物、氮化物、氮氧化物、碳化物、碳氧化物、碳氮化物、碳氮氧化物、硼化物、硼氧化物、硼氮化物、硼碳化物、硼碳氧化物、硼氮氧化物或硼碳氮氧化物。在一些實施例中,蝕刻停止層12包括氧化鉿、氮化釔、氧化錫中的一或更多者或其組合。
可藉由任何合適的技術來沉積蝕刻停止層12。在一些實施例中,蝕刻停止層12是藉由原子層沉積來沉積的。蝕刻停止層12的厚度可以是在約1 nm到約2微米的範圍中,或是在約10 nm到約1微米的範圍中。
可將硬質遮罩15沉積於SOC層14上,且可將具有開口17的光刻膠16形成於硬質遮罩15上。可形成開口17以移除沉積在硬質遮罩15的暴露部分下方的材料。
圖2A及2B示出核心蝕刻及硬質遮罩移除之後的設備。SOC層14、硬質遮罩15及光刻膠16被移除了。這可藉由一或更多個過程或在單個過程中完成。在光刻膠16下方的非晶矽的心軸20留存且開口17下方的蝕刻停止層12暴露。如此說明書中所使用的,例如「在...下方(below、under、beneath)等等」的方向性用語指的是圖式中所示的位置且是用於說明的目的。本領域中的技術人員將瞭解到,可用任何定向將基板固持在處理腔室內,且方向性用語不限於實體空間定向。
圖3A及3B示出沉積間隔層30之後的設備。間隔層30共形地形成於非晶矽心軸20及蝕刻停止層12上。如本文中所使用的,用語「共形的」或「共形地」指的是在相較於膜的平均厚度而言具有小於1%的變化的厚度的情況下黏著到暴露面及均勻覆蓋暴露面的層。例如,1,000 Å厚的膜會具有小於10 Å的厚度變化。此厚度及變化包括邊緣、角落、側邊、及凹口的底部。例如,在本揭示案的各種實施例中藉由ALD來沉積的共形的層會提供複雜表面上的沉積區域上方的具有實質均勻厚度的覆蓋性。
一些實施例的間隔層30包括氮化物。在一或更多個實施例中,間隔層30包括氮化矽。在一些實施例中,間隔層30是藉由原子層沉積來沉積的,且具有在約1 nm到約2微米的範圍中或在約10 nm到約1微米的範圍中的厚度。
圖4A及4B示出間隔物蝕刻及核心移除過程之後的設備。間隔層被沉積於心軸側邊上的部分留存作為間隔心軸40。蝕刻停止層12再次受間隔物蝕刻及核心移除過程所暴露。一些實施例的間隔物蝕刻及核心移除過程形成方形頂部間隔物蝕刻。一些實施例的間隔層30及間隔層心軸40包括氮化矽。
圖5A及5B示出氧化層50沉積在間隔心軸40上之後的設備。氧化層50共形地形成於間隔層心軸40及蝕刻停止層12上。在一些實施例中,氧化層50是藉由原子層沉積來沉積的。一些實施例的氧化層50包括氧化矽。在一些實施例中,氧化層50具有在約1 nm到約2微米的範圍中或在約10 nm到約1微米的範圍中的厚度。
圖6A及6B繪示在移除氧化層50的水平部分的氧化物間隔物蝕刻過程之後的設備。方形頂部間隔物蝕刻過程造成了具有在任一側上的氧化膜60的間隔層心軸40。間隙61被形成於相鄰的氧化膜60/間隔層心軸40/氧化膜60的組之間。在所繪示的實施例中,氮化矽材料被氧化矽層圍繞。
圖7A及7B示出在氧化膜60及間隔層心軸40的組之間的間隙61中的暴露蝕刻停止層12上沉積間隙填充材料70之後的設備。間隙填充材料70是用充足的量沉積的以形成覆蓋氧化膜60及間隔層心軸40的頂部的覆蓋層71。間隙填充材料70被沉積於氧化膜60及間隔層心軸40的相反側壁及頂部部分上。
可藉由任何合適的技術來沉積間隙填充材料70。在一些實施例中,間隙填充材料70是藉由原子層沉積來沉積的。間隙填充材料70是犧牲材料且可被形成為具有或不具有接縫。在一些實施例中,間隙填充材料70包括氧化鋁。
圖8A及8B示出移除間隙填充材料70覆蓋層以將間隙填充材料80留在間隙61中之後的設備。移除過程造成了一個表面,在該表面中存在著氧化膜60、間隔層心軸40及間隙填充材料80的暴露部分。可藉由化學機械平面化過程或藉由回蝕過程來移除覆蓋層。
圖9A及9B示出沉積了旋轉塗佈碳(SOC)膜90、硬質遮罩91及具有開口93的光刻膠92之後的設備。光刻膠92中的開口93是在一行間隙填充材料80的上方,然而可包括多於一行的間隙填充材料80。硬質遮罩91及光刻膠92可以是任何合適的材料。在一些實施例中,硬質遮罩91及光刻膠92分別是與硬質遮罩15及光刻膠16相同的材料。在一些實施例中,硬質遮罩91及光刻膠92中的一或兩者分別與硬質遮罩15及光刻膠16不同。圖9B示出顯露硬質遮罩91的具有開口93的光刻膠92的俯視圖。
在一個實施例中,硬質遮罩91是金屬層硬質遮罩。在一些實施例中,硬質遮罩91是碳硬質遮罩、金屬氧化物硬質遮罩層、金屬氮化物硬質遮罩層、氮化矽硬質遮罩、氧化矽硬質遮罩層、碳化物硬質遮罩層、或微電子設備製造領域中的技術人員所習知的其他硬質遮罩層。在一個實施例中,硬質遮罩91是使用微電子設備製造領域中的技術人員所習知的一或更多種硬質遮罩圖案化技術來形成的。
在一個實施例中,光刻膠92是使用微電子設備製造領域中的技術人員所習知的一或更多種遮罩層沉積技術來形成的。在一個實施例中,光刻膠92是使用沉積技術中的一者來沉積的,該沉積技術例如是(但不限於)CVD、PVD、MBE、NOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他絕緣層沉積技術。在一個實施例中,開口93是使用微電子設備製造領域中的技術人員所習知的圖案化及蝕刻技術中的一或更多者來形成的。
圖10A及10B繪示用來形成SOC層100及硬質遮罩101的遮罩開口及移除光刻膠92之後的設備。開口102與光刻膠92中的開口93垂直對準。
圖11A及11B示出移除SOC層100、硬質遮罩101及間隙填充材料80以留下間隙110之後的設備。可藉由不與間隔層心軸40或氧化膜60作用的選擇性蝕刻過程來移除間隙填充材料80。在一些實施例中,間隔層心軸40包括氮化矽,氧化膜60包括氧化矽,而間隙填充材料80包括氧化鋁。
蝕刻過程的選擇性一般被表示為蝕刻速率比率。例如,若一種材料(例如氧化鋁)被蝕刻得較其他材料快25倍,則過程會被描述為具有25:1或就25的選擇性。在這方面,較高的比率/值指示更具選擇性的蝕刻過程。在一些實施例中,移除間隙填充材料80的選擇性蝕刻過程具有大於或等於約10、大於或等於約12、大於或等於約15、大於或等於約20、或大於或等於約25的選擇性。在一些實施例中,選擇性蝕刻過程具有約12的選擇性。
圖12A及12B示出沉積了旋轉塗佈碳(SOC)膜120、硬質遮罩121及具有開口123的光刻膠122之後的設備。光刻膠122中的開口123是在一行間隔層心軸40的上方,然而可包括多於一行的間隔層心軸40。硬質遮罩121及光刻膠122可以是任何合適的材料。
圖13A及13B繪示用來形成SOC層130及硬質遮罩131的遮罩開口及移除光刻膠122之後的設備。開口133與光刻膠122中的開口123垂直對準。
圖14A及14B示出移除SOC層130、硬質遮罩131及暴露的間隔層心軸40以留下間隙140之後的設備。可藉由不與間隙填充材料80或氧化膜60作用的選擇性蝕刻過程來移除間隔層。
圖15A及15B示出氧化物間隔物移除過程之後的設備。氧化膜60被移除而暴露蝕刻停止層12。
圖16A及16B示出氧化物遮罩蝕刻之後的設備。暴露的蝕刻停止層12及暴露的蝕刻停止層12下方的氧化層11被移除。亦從間隔層心軸40及間隙填充材料80的頂部移除了被移除的層的厚度。可將間隔層心軸40及間隙填充材料80的圖案轉移到基板10。
圖17A及17B示出圖案轉移到基板10以顯露圖案化基板170之後的設備。一些實施例的凹口117的深度是在約10 nm到約2000 nm的範圍中、或在約50 nm到約1000 nm的範圍中、或在約100 nm到約500 nm的範圍中、或約為200 nm。
本揭示案的第二態樣可稱為具有先切割翼片蝕刻的轉移蝕刻AB遮罩。此態樣由圖18到21所繪示,之後是圖4到17,包括A及B圖解兩者。圖18A示出包括基板10、氧化層11、蝕刻停止層12、氮化矽層213、第二蝕刻停止層218、旋轉塗佈碳(SOC)層14、硬質遮罩15及具有開口17的光刻膠16的設備的視圖。圖18A中所繪示的設備的個別的層或元件可以由技術人員習知的任何合適的過程所形成。圖18B示出圖18A的設備的俯視圖,其中硬質遮罩15及光刻膠16是可見的。上文描述了基板10、氧化層11、蝕刻停止層12、SOC層14、硬質遮罩15及光刻膠16。
氮化矽層213可以是藉由技術人員所習知的任何合適技術來沉積的任何合適的厚度。在一些實施例中,氮化矽層213的厚度是在約10 nm到約2000 nm的範圍中,或是在約100 nm到約1000 nm的範圍中。
第二蝕刻停止層217可與蝕刻停止層12相同或者是不同的。第二蝕刻停止層217可以是任何合適的材料,包括(但不限於)高k介電體及陶瓷材料。在一些實施例中,第二蝕刻停止層217包括d區塊或f區域元素的氧化物、氮化物、氮氧化物、碳化物、碳氧化物、碳氮化物、碳氮氧化物、硼化物、硼氧化物、硼氮化物、硼碳化物、硼碳氧化物、硼氮氧化物或硼碳氮氧化物。在一些實施例中,第二蝕刻停止層217包括氧化鉿、氮化釔、氧化錫中的一或更多者或其組合。
可藉由任何合適的技術來沉積第二蝕刻停止層217。在一些實施例中,第二蝕刻停止層217是藉由原子層沉積來沉積的。第二蝕刻停止層217的厚度可以是在約1 nm到約2微米的範圍中,或是在約10 nm到約1微米的範圍中。
圖19A及19B繪示已蝕刻SOC層14以形成SOC心軸219且已移除光刻膠16及硬質遮罩15之後的設備。SOC層14在開口17下方的部分已被移除以顯露第二蝕刻停止層218。再次地,方向性關係「在...下方」僅用於相對於圖式的說明性用途,且不暗示處理腔室中的實體方向。
圖20A及20B繪示在暴露的第二蝕刻停止層218及SOC心軸219上沉積共形氧化物間隔物220之後的設備。一些實施例的共形的氧化物間隔物220是藉由原子層沉積來沉積的氧化矽層。共形的氧化物間隔物220的厚度可以是在約1 nm到約2000 nm的範圍中,或是在約2 nm到約1000 nm的範圍中。
圖21A及21B繪示間隔物蝕刻及核心移除過程之後的設備。間隔物220被沉積於心軸219的側邊上的部分留存作為間隔心軸221。第二蝕刻停止層218再次受間隔物蝕刻及核心移除過程所暴露。一些實施例的間隔物蝕刻及核心移除過程形成方形頂部心軸蝕刻。
在形成間隔心軸221之後,可執行轉移蝕刻過程以移除間隔心軸221、第二蝕刻停止層218、及氮化矽層213的部分,以將心軸40留在氮化矽層213中。這些心軸40示於圖4A及4B中。第二態樣的方法接著在針對圖5到17(包括A及B標示兩者)所描述的實施例之後完成以形成圖17A及17B中所繪示的基板170。
本揭示案的第三態樣可稱為具有先切割翼片蝕刻的轉移蝕刻及替換核心AB遮罩。此態樣由圖22到32所繪示,之後是圖8到17,包括A及B圖解兩者。圖22A示出包括基板10、氧化層11、蝕刻停止層12、非晶矽層13、第二蝕刻停止層218、旋轉塗佈碳(SOC)層14、硬質遮罩15及具有開口17的光刻膠16的設備的視圖。由第三態樣所實施的設備與第二態樣的設備類似,由第三態樣所實施的設備的氮化矽層213被非晶矽層13替換。圖22A中所繪示的設備的個別的層或元件可以由技術人員習知的任何合適的過程所形成。圖22B示出圖22A的設備的俯視圖,其中硬質遮罩15及光刻膠16是可見的。上文針對本揭示案的第一態樣描述了基板10、氧化層11、蝕刻停止層12、非晶矽層13、SOC層14、硬質遮罩15及光刻膠16。上文針對本揭示案的第二態樣描述了第二蝕刻停止層218。
圖23A及23B繪示已蝕刻SOC層14以形成SOC心軸219且已移除光刻膠16及硬質遮罩15之後的設備。SOC層14在開口17下方的部分已被移除以暴露第二蝕刻停止層218。再次地,方向性關係「在...下方」僅用於相對於圖式的說明性用途,且不暗示處理腔室中的實體方向。
圖24A及24B繪示在暴露的第二蝕刻停止層218及SOC心軸219上沉積共形氧化物間隔物220之後的設備。一些實施例的共形的氧化物間隔物220是藉由原子層沉積來沉積的氧化矽層。共形的氧化物間隔物220的厚度可以是在約1 nm到約2000 nm的範圍中,或是在約2 nm到約1000 nm的範圍中。
圖25A及25B繪示間隔物蝕刻及核心移除過程之後的設備。間隔物220被沉積於心軸219的側邊上的部分留存作為間隔心軸221。第二蝕刻停止層218再次受間隔物蝕刻及核心移除過程所暴露。一些實施例的間隔物蝕刻及核心移除過程形成方形頂部心軸蝕刻。
圖26A及26B示出在轉移蝕刻過程移除間隔心軸221、第二蝕刻停止層218、及非晶矽層13的部分以留下非晶矽的心軸326之後的設備。轉移蝕刻過程可包括技術人員所習知的一或更多種過程條件。蝕刻停止層12的部分受轉移蝕刻所暴露。一些實施例的轉移蝕刻過程形成方形頂部心軸。
圖27A及27B繪示在暴露的蝕刻停止層12及心軸326上沉積共形氧化物間隔物50之後的設備。一些實施例的共形的氧化物間隔物50是藉由原子層沉積來沉積的氧化矽層。共形的氧化物間隔物50的厚度可以是在約1 nm到約2000 nm的範圍中,或是在約2 nm到約1000 nm的範圍中。
圖28A及28B繪示在氧化物間隔物蝕刻過程移除氧化物間隔物50在心軸326的頂部上及在蝕刻停止層12上的部分而如所示地留下在心軸326的側邊的氧化膜60、及相鄰的氧化膜60/心軸326/氧化膜60組之間的間隙61之後的設備。在一些實施例中,氧化物間隔物蝕刻過程形成了方形頂部心軸。
圖29A及29B示出在氧化膜60及心軸326的組之間的間隙61中的暴露蝕刻停止層12上沉積間隙填充材料70之後的設備。間隙填充材料70是用充足的量沉積的以形成覆蓋氧化膜60及心軸326的頂部的覆蓋層71。間隙填充材料70被沉積於氧化膜60及心軸326的相反側壁及頂部部分上。
可藉由任何合適的技術來沉積間隙填充材料70。在一些實施例中,間隙填充材料70是藉由原子層沉積來沉積的。間隙填充材料70是犧牲材料且可被形成為具有或不具有接縫。在一些實施例中,間隙填充材料70包括氧化鋁。
圖30A及30B示出移除間隙填充材料70覆蓋層71以將間隙填充材料80留在間隙61中之後的設備。移除過程造成了一個表面,在該表面中存在著氧化膜60、心軸326及間隙填充材料80的暴露部分。可藉由化學機械平面化過程或藉由回蝕過程來移除覆蓋層。
圖31A及31B示出移除非晶矽心軸326以在相鄰的氧化膜60之間留下間隙331以讓蝕刻停止層12在間隙331的底部處暴露之後的設備。可藉由本領域中的技術人員所習知的任何合適的過程來移除非晶矽心軸326。在一些實施例中,非晶矽心軸326是藉由選擇性蝕刻過程來移除的。
圖32A及32B示出用氮化矽332進行間隙填充之後的設備。間隙填充過程可如所繪示地在基板表面上形成覆蓋層。移除過程造成了一個表面,在該表面中存在著氧化膜60、間隔層心軸40及間隙填充材料80的暴露部分。可藉由化學機械平面化過程或藉由回蝕過程來移除覆蓋層。第三態樣的方法接著在針對圖9到17(包括A及B標示兩者)所描述的實施例之後完成以形成圖17A及17B中所繪示的基板170。
本揭示案的第四態樣可稱為具有最後切割翼片蝕刻(cut-last fin etch)的間隔物上間隔物(spacer-on-spacer)AB遮罩。此態樣是由從圖1進行到圖8、之後是圖33到40、結束在圖17(包括A及B圖解兩者)中的過程所繪示的。在進行通過針對圖1到8所描述的過程之後,設備具有交錯的間隔層心軸40及間隙填充材料80,其中氧化膜60在該等間隔層心軸與間隙填充材料之間。
圖33A及33B示出已移除氧化膜60以暴露間隔層心軸40與間隙填充材料80之間的間隙中的蝕刻停止層12之後的設備的視圖。可藉由技術人員所習知的任何合適的過程來移除氧化膜60。
圖34A及34B示出翼片蝕刻移除心軸40與間隙填充材料80之間的間隙中的蝕刻停止層12及氧化層11之後的設備的視圖。這造成了格柵結構,在該格柵結構中,基板10具有凹口117。
圖35A及35B示出已沉積SOC層435以填充被心軸40及間隙填充材料80蓋頂的膜堆疊之間的間隙之後的設備。SOC層435被沉積為具有覆蓋心軸40及間隙填充材料80的頂部的覆蓋層。硬質遮罩91形成於SOC層435上,且具有開口93的光刻膠92形成於硬質遮罩91上。開口93定位在用間隙填充材料80蓋頂的膜堆疊中的一者上方,且可延伸於用心軸40蓋頂的膜堆疊上方。
在一或更多個實施例中,硬質遮罩91包括一或更多個硬質遮罩層。在一或更多個實施例中,硬質遮罩91是三層式遮罩堆疊,例如氧化矽硬質遮罩上的底部防反射塗料(BARC)層上的中間層(ML)(例如含矽有機層或含金屬介電層)上的193 nm浸入(193i)或EUV抗蝕遮罩。在一個實施例中,硬質遮罩91包括氮化鈦(TiN)層、碳化鎢(WC)層、碳溴化鎢(WBC)層、碳硬質遮罩層、金屬氧化物硬質遮罩層、金屬氮化物硬質遮罩層、氮化矽硬質遮罩層、氧化矽硬質遮罩層、碳化物硬質遮罩層、其他硬質遮罩層、或其任何組合。
在一個實施例中,光刻膠92是使用微電子設備製造領域中的技術人員所習知的沉積技術中的一或更多者來形成的。在一個實施例中,硬質遮罩91是使用一或更多種硬質遮罩層沉積技術來沉積的,該等沉積技術例如是(但不限於)CVD、PVD、MBE、MOCVD、旋轉塗佈、或微電子設備製造領域中的技術人員所習知的其他硬質遮罩沉積。在一個實施例中,開口93是使用微電子設備製造領域中的技術人員所習知的圖案化及蝕刻技術中的一或更多者來形成的。
圖36A及36B繪示依據一個實施例的通過開口93移除硬質遮罩91及SOC層435的部分以在SOC層435中形成開口436之後的設備。如所示,開口436顯露了心軸40及間隙填充材料80的頂部。開口436是足夠深的,使得暴露的心軸40與間隙填充材料80之間的SOC層435a處於與心軸40及間隙填充材料80相同的位準或是在至少間隙填充材料80的頂部下方。
圖37A及37B繪示已移除定位在開口436內的用間隙填充材料80蓋頂的膜堆疊以在膜堆疊所在的基板10中留下間隙437之後的設備。亦已移除了光刻膠92、硬質遮罩91及SOC層435、435a。可藉由單個過程或藉由多個過程來移除這些材料。在一些實施例中,移除SOC層435、435a是用實質上不與心軸40或間隙填充材料80作用的選擇性蝕刻過程完成的。
在一些實施例中,移除用間隙填充材料80蓋頂的膜堆疊的過程包括相對於任何暴露的心軸40選擇性地蝕刻間隙填充材料80。選擇性蝕刻過程亦可對於SOC層435、435a具有選擇性。
移除間隙填充材料80的選擇性蝕刻過程具有大於或等於約10、大於或等於約12、大於或等於約15、大於或等於約20、或大於或等於約25的選擇性。在一些實施例中,選擇性蝕刻過程具有約12的選擇性。
圖38A及38B繪示已沉積SOC層435以填充被心軸40及間隙填充材料80蓋頂的膜堆疊之間的間隙之後的設備。SOC層435被沉積為具有覆蓋心軸40及間隙填充材料80的頂部的覆蓋層。硬質遮罩91形成於SOC層435上,且具有開口93的光刻膠92形成於硬質遮罩91上。開口93現定位在用心軸40蓋頂的膜堆疊中的一者上方,且可延伸於用間隙填充材料80蓋頂的膜堆疊上方。
圖39A及39B繪示依據一個實施例的通過開口93移除硬質遮罩91及SOC層435的部分以在SOC層435中形成開口439之後的設備。如所示,開口439顯露了心軸40及間隙填充材料80的頂部。開口439是足夠深的,使得暴露的心軸40與間隙填充材料80之間的SOC層435a處於與心軸40及間隙填充材料80相同的位準或是在至少心軸40的頂部下方。
圖40A及40B繪示已移除定位在開口439內的用心軸40蓋頂的膜堆疊以在膜堆疊所在的基板10中留下間隙440之後的設備。亦已移除了光刻膠92、硬質遮罩91及SOC層435、435a。可藉由單個過程或藉由多個過程來移除這些材料。在一些實施例中,移除SOC層435、435a是用實質上不與心軸40或間隙填充材料80作用的選擇性蝕刻過程完成的。
可移除剩餘的心軸40、間隙填充材料80、及心軸40及間隙填充材料80下方的蝕刻停止層12及氧化層11以提供如圖17A及17B中所繪示的基板170。
本揭示案的第五態樣可稱為具有最後切割翼片蝕刻的轉移蝕刻AB遮罩。此態樣是由從圖18進行到圖21、之後是圖4到8、之後是圖33到40、結束在圖17(包括A及B圖解兩者)中的過程所繪示的。
本揭示案的第六態樣可稱為具有最後切割翼片蝕刻的轉移蝕刻及替換核心AB遮罩。此態樣是由從圖22進行到圖32、之後是圖8、之後是圖33到40、結束在圖17(包括A及B圖解兩者)中的過程所繪示的。
本揭示案的另一實施例與將氧化鋁的選擇性蝕刻過程用在自對準的閘極接點的生產中相關。
圖41示出包括基板4110、氧化層4120、源極/汲極區域4130、頂蓋層4140、側壁間隔物4150、金屬閘極4160及金屬閘極蓋4170的電子設備的視圖。圖41中所繪示的設備的個別元件可以由技術人員習知的任何合適的過程所形成。
在一些實施例中,氧化層包括流動的氧化矽。在一些實施例中,源極/汲極區域包括鈷金屬。在一些實施例中,源汲極蓋包括氧化鋁。在一些實施例中,側壁間隔物包括低k材料。在一些實施例中,低k材料選自SiOC或SiONC。在一些實施例中,金屬閘極蓋包括氮化矽。
圖42繪示已在不移除氧化層4120或金屬閘極蓋4170的情況下選擇性地移除源汲極蓋之後的設備。在一些實施例中,頂蓋層4140實質上由氧化鋁組成,且選擇性移除頂蓋層4140的過程包括選擇性蝕刻過程。移除頂蓋層4140的選擇性蝕刻過程具有大於或等於約10、大於或等於約12、大於或等於約15、大於或等於約20、或大於或等於約25的選擇性。在一些實施例中,選擇性蝕刻過程具有約12的選擇性。
雖然未繪示,但在移除頂蓋層4140之後,可將額外的金屬材料沉積於源極/汲極區域中的一或更多者的頂部上。在一些實施例中,額外的金屬材料包括鈷金屬或實質上由鈷金屬組成。
本揭示案的一些實施例與將氧化鋁用在包括通路的電子設備的生產中作為蝕刻停止層相關。這些實施例可有利地提供通路臨界尺度(CD)及/或輪廓的精確控制。這些實施例可有利地提供在不影響周圍的層的情況下形成通路或開口的操作。
圖43示出包括基板4310、蝕刻停止層4320及沉積層4330的電子設備的視圖。沉積層具有形成於其中的特徵,使得開口4332從沉積層4330的頂面4335延伸到蝕刻停止層4320。雖然未繪示,但設備在基板4310與蝕刻停止層4320之間可包括額外的層。圖43中所繪示的設備的個別元件可以由技術人員習知的任何合適的過程所形成。
在一些實施例中,沉積層4330包括SiOC、SiO、SiN、旋轉塗佈層或其他低k材料中的一或更多者。在一些實施例中,蝕刻停止層4320包括氧化鋁。
圖44繪示已選擇性地移除開口4332的底部之後的圖43的設備。在一些實施例中,此移除操作是在不影響沉積層4330的情況下執行的。在一些實施例中,蝕刻停止層4320實質上由氧化鋁組成,且選擇性移除蝕刻停止層的過程包括選擇性蝕刻過程。移除蝕刻停止層4320的選擇性蝕刻過程具有大於或等於約10、大於或等於約12、大於或等於約15、大於或等於約20、或大於或等於約25的選擇性。在一些實施例中,選擇性蝕刻過程具有約12的選擇性。
本揭示案的另一實施例與將氧化鋁用在包括通路及/或通路接點的電子設備的生產中作為襯墊層相關。這些實施例可有利地提供接觸面積的最大化。
圖45示出包括基板4510及沉積層4530的電子設備的視圖。沉積層具有形成於其中的通路4532,該通路在該通路的底部處具有金屬接點4540。沉積層及金屬接點被襯墊4520覆蓋。雖然未繪示,但設備在基板4510與沉積層4530及/或金屬接點4540之間可包括額外的層。圖45中所繪示的設備的個別元件可以由技術人員習知的任何合適的過程所形成。
在一些實施例中,沉積層4530包括SiOC、SiO、SiN、旋轉塗佈層或其他低k材料中的一或更多者。在一些實施例中,襯墊4520包括氧化鋁。
圖46繪示已選擇性地移除襯墊4520之後的圖45的設備。在一些實施例中,如圖46中所示,僅從通路4532的底部及側壁移除襯墊4520。在一些實施例(未示出)中,從電子設備移除了整個襯墊4520或實質上所有襯墊4520(例如>95%)。襯墊4520的移除是在不影響沉積層4530或金屬接點4540的情況下執行的。在一些實施例中,襯墊4520實質上由氧化鋁組成,且選擇性移除襯墊4520的過程包括選擇性蝕刻過程。移除襯墊4520的選擇性蝕刻過程具有大於或等於約10、大於或等於約12、大於或等於約15、大於或等於約20、或大於或等於約25的選擇性。在一些實施例中,選擇性蝕刻過程具有約12的選擇性。
在先前的說明書中,已參照本發明實施例的具體示例性實施例描述了本發明的實施例。顯然,可在不脫離如以下請求項中所闡述的本發明實施例的較廣精神及範圍的情況下對該等實施例做出各種更改。因此,應就說明的角度而非限制的角度看待說明書及繪圖。
10‧‧‧基板
11‧‧‧氧化層
12‧‧‧蝕刻停止層
13‧‧‧非晶矽層
14‧‧‧旋轉塗佈碳(SOC)層
15‧‧‧硬質遮罩
16‧‧‧光刻膠
17‧‧‧開口
20‧‧‧心軸
30‧‧‧間隔層
40‧‧‧間隔心軸
50‧‧‧氧化層
60‧‧‧氧化膜
61‧‧‧間隙
70‧‧‧間隙填充材料
71‧‧‧覆蓋層
80‧‧‧間隙填充材料
90‧‧‧旋轉塗佈碳(SOC)膜
91‧‧‧硬質遮罩
92‧‧‧光刻膠
93‧‧‧開口
100‧‧‧旋轉塗佈碳(SOC)膜
101‧‧‧硬質遮罩
102‧‧‧開口
110‧‧‧間隙
117‧‧‧凹口
120‧‧‧旋轉塗佈碳(SOC)膜
121‧‧‧硬質遮罩
122‧‧‧光刻膠
123‧‧‧開口
130‧‧‧旋轉塗佈碳(SOC)膜
131‧‧‧硬質遮罩
133‧‧‧開口
140‧‧‧間隙
170‧‧‧基板
213‧‧‧氮化矽層
218‧‧‧第二蝕刻停止層
219‧‧‧心軸
220‧‧‧共形氧化物間隔物
221‧‧‧間隔心軸
326‧‧‧心軸
331‧‧‧間隙
332‧‧‧氮化矽
435‧‧‧旋轉塗佈碳(SOC)膜
435a‧‧‧旋轉塗佈碳(SOC)膜
436‧‧‧開口
437‧‧‧間隙
439‧‧‧開口
440‧‧‧間隙
4110‧‧‧基板
4120‧‧‧氧化層
4130‧‧‧源極/汲極區域
4140‧‧‧頂蓋層
4150‧‧‧側壁間隔物
4160‧‧‧金屬閘極
4170‧‧‧金屬閘極蓋
4310‧‧‧基板
4320‧‧‧蝕刻停止層
4330‧‧‧沉積層
4332‧‧‧開口
4335‧‧‧頂面
4510‧‧‧基板
4520‧‧‧襯墊
4530‧‧‧沉積層
4532‧‧‧通路
4540‧‧‧金屬接點
為了可以詳細瞭解上文所載的本揭示案特徵所用的方式,可藉由參照實施例來擁有上文簡要概述的本揭示案的更詳細描述,該等實施例中的某些部分被繪示在附圖中。然而,要注意,附圖僅繪示此揭示案的一般實施例且因此並不視為本揭示案的範圍的限制,因為本揭示案可容許其他等效的實施例。如本文中所述的實施例藉由示例而非限制的方式而繪示於附圖的圖示中,在該等附圖中,類似的參考標號指示類似的構件。
圖1A及1B分別繪示依據本揭示案的一或更多個實施例的用來提供對準的圖案化的電子設備結構的橫截面及俯視圖;
圖2A及2B分別繪示依據本揭示案的一或更多個實施例的在非晶矽層中形成心軸之後的電子設備結構的橫截面及俯視圖;
圖3A及3B分別繪示依據本揭示案的一或更多個實施例的在非晶矽層上形成共形間隔層之後的電子設備結構的橫截面及俯視圖;
圖4A及4B分別繪示依據本揭示案的一或更多個實施例的在間隔物蝕刻及核心移除之後的電子設備結構的橫截面及俯視圖;
圖5A及5B分別繪示依據本揭示案的一或更多個實施例的在形成共形間隔層之後的電子設備結構的橫截面及俯視圖;
圖6A及6B分別繪示依據本揭示案的一或更多個實施例的在蝕刻共形間隔層之後的電子設備結構的橫截面及俯視圖;
圖7A及7B分別繪示依據本揭示案的一或更多個實施例的在間隙填充之後的電子設備結構的橫截面及俯視圖;
圖8A及8B分別繪示依據本揭示案的一或更多個實施例的在間隙填充之後在覆蓋層的CMP或回蝕之後的電子設備結構的橫截面及俯視圖;
圖9A到11B繪示依據本揭示案的一或更多個實施例的使用寬覆蓋遮罩來移除一種間隙填充材料的電子設備結構的橫截面(A)及俯視圖(B);
圖12A到14B繪示依據本揭示案的一或更多個實施例的使用寬覆蓋遮罩來移除一個間隔心軸的電子設備結構的橫截面(A)及俯視圖(B);
圖15A及15B分別繪示依據本揭示案的一或更多個實施例的在移除氧化物間隔物之後的電子設備結構的橫截面及俯視圖;
圖16A及16B分別繪示依據本揭示案的一或更多個實施例的在氧化物遮罩蝕刻之後的電子設備結構的橫截面及俯視圖;
圖17A及17B分別繪示依據本揭示案的一或更多個實施例的在翼片蝕刻及遮罩移除之後的電子設備結構的橫截面及透視圖;
圖18A及18B分別繪示依據本揭示案的一或更多個實施例的用來提供對準的圖案化的電子設備結構的橫截面及俯視圖;
圖19A及19B分別繪示依據本揭示案的一或更多個實施例的在旋轉塗佈碳(spin-on-carbon)層中形成心軸之後的電子設備結構的橫截面及俯視圖;
圖20A及20B分別繪示依據本揭示案的一或更多個實施例的在旋轉塗佈碳心軸上形成共形間隔層之後的電子設備結構的橫截面及俯視圖;
圖21A及21B分別繪示依據本揭示案的一或更多個實施例的在間隔物蝕刻及核心移除之後的電子設備結構的橫截面及俯視圖;
圖22A及22B分別繪示依據本揭示案的一或更多個實施例的用來提供對準的圖案化的電子設備結構的橫截面及俯視圖;
圖23A及23B分別繪示依據本揭示案的一或更多個實施例的在SOC層中形成心軸之後的電子設備結構的橫截面及俯視圖;
圖24A及24B分別繪示依據本揭示案的一或更多個實施例的在SOC心軸上形成共形間隔層之後的電子設備結構的橫截面及俯視圖;
圖25A及25B分別繪示依據本揭示案的一或更多個實施例的在間隔物蝕刻及核心移除之後的電子設備結構的橫截面及俯視圖;
圖26A及26B分別繪示依據本揭示案的一或更多個實施例的在心軸轉移到非晶矽層之後的電子設備結構的橫截面及俯視圖;
圖27A及27B分別繪示依據本揭示案的一或更多個實施例的在非晶矽心軸上形成共形間隔層之後的電子設備結構的橫截面及俯視圖;
圖28A及28B分別繪示依據本揭示案的一或更多個實施例的在間隔物蝕刻及核心移除之後的電子設備結構的橫截面及俯視圖;
圖29A及29B分別繪示依據本揭示案的一或更多個實施例的在間隙填充之後的電子設備結構的橫截面及俯視圖;
圖30A及30B分別繪示依據本揭示案的一或更多個實施例的在間隙填充之後在覆蓋層的CMP或回蝕之後的電子設備結構的橫截面及俯視圖;
圖31A及31B分別繪示依據本揭示案的一或更多個實施例的在移除非晶矽心軸之後的電子設備結構的橫截面及俯視圖;
圖32A及32B分別繪示依據本揭示案的一或更多個實施例的在間隙填充之後的電子設備結構的橫截面及俯視圖;
圖33A及33B分別繪示依據本揭示案的一或更多個實施例的在氧化物間隔物移除之後的電子設備結構的橫截面及俯視圖;
圖34A及34B分別繪示依據本揭示案的一或更多個實施例的在翼片蝕刻之後以形成格柵結構的電子設備結構的橫截面及俯視圖;
圖35A到37B繪示依據本揭示案的一或更多個實施例的使用寬覆蓋遮罩來移除一個間隙填充材料蓋頂的堆疊的電子設備結構的橫截面(A)及俯視圖(B);及
圖38A到40B繪示依據本揭示案的一或更多個實施例的使用寬覆蓋遮罩來移除一個氮化矽蓋頂的堆疊的電子設備結構的橫截面(A)及俯視圖(B)。
圖41及42繪示依據本揭示案的一或更多個實施例的包括源極/汲極終端及金屬閘極的電子設備結構的橫截面圖。
圖43及44繪示依據本揭示案的一或更多個實施例的包括底部蝕刻停止層及具有特徵的沉積層的電子設備結構的橫截面圖。
圖45及46繪示依據本揭示案的一或更多個實施例的包括沉積層、金屬接點及襯墊層的電子設備結構的橫截面圖。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記)
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記)

Claims (20)

  1. 一種形成一半導體設備的方法,該方法包括以下步驟: 在一膜上形成一旋轉塗佈碳層、一硬質遮罩及一光刻膠,該膜包括間隔心軸及間隙填充材料的交替的行,氧化膜在該等行之間,該光刻膠具有該等間隙填充材料中的一者上方的一開口,且該等間隙填充材料包括氧化鋁; 通過該光刻膠中的該開口蝕刻該硬質遮罩及該旋轉塗佈碳層以暴露該等間隙填充材料中的一者的一頂部; 移除該旋轉塗佈碳層、該硬質遮罩及該間隙填充材料以留下一間隙; 在該膜上形成一旋轉塗佈碳層、一硬質遮罩及一光刻膠,該膜包括間隔心軸、間隙填充材料、氧化膜及該間隙的交錯的行,該光刻膠具有該等間隔心軸中的一者上方的一開口; 通過該光刻膠中的該開口蝕刻該硬質遮罩及該旋轉塗佈碳層以暴露該等間隔心軸中的一者的一頂部; 移除該旋轉塗佈碳層、該硬質遮罩及該間隔心軸以留下另一間隙; 移除該等氧化膜以在一基板上的一氧化層上的一蝕刻停止層上留下間隔心軸及間隙填充材料; 進行蝕刻以移除未被該等間隔心軸或該等間隙填充材料覆蓋的該蝕刻停止層及該氧化層,及減少該等間隔心軸及該等間隙填充材料的一高度以暴露該基板的部分;及 翼片蝕刻該基板的該等暴露部分,及移除剩餘的該等間隔心軸、該等間隙填充材料、該蝕刻停止層及該氧化層。
  2. 如請求項1所述的方法,其中在形成該旋轉塗佈碳層之前,該方法更包括以下步驟: 在一蝕刻停止層上的一非晶矽層中形成心軸; 在該等心軸上形成一共形間隔層; 移除該等心軸,及方形蝕刻該共形間隔層,以在該蝕刻停止層上形成間隔心軸; 在該等間隔心軸上形成一共形氧化層; 蝕刻該共形氧化層,以在該等間隔心軸的兩側上形成氧化膜,其中一間隙在相鄰的該等氧化膜之間;及 在該間隙中沉積一間隙填充材料。
  3. 如請求項2所述的方法,其中該基板上的該氧化層包括氧化矽。
  4. 如請求項2所述的方法,其中間隔心軸包括氮化矽。
  5. 如請求項2所述的方法,其中該間隙填充材料是藉由具有大於或等於約12的選擇性的一蝕刻過程來移除的。
  6. 如請求項2所述的方法,其中該等氧化膜包括氧化矽。
  7. 如請求項2所述的方法,其中該共形間隔層包括氧化矽。
  8. 如請求項1所述的方法,其中在形成該旋轉塗佈碳層之前,該方法更包括以下步驟: 在一基板上的一氧化層上的一蝕刻停止層上的一氮化矽層上的一第二蝕刻停止層上的旋轉塗佈碳層中形成心軸; 在該等心軸上形成一共形間隔層; 移除該等心軸,及方形蝕刻該共形間隔層,以在該第二蝕刻停止層上形成間隔心軸; 將該第二蝕刻停止層上的該等間隔心軸轉移到該第一蝕刻停止層上的間隔心軸,及移除該第二蝕刻停止層、及該氮化矽層的部分,以暴露該蝕刻停止層; 在該蝕刻停止層上的該等間隔心軸上形成一共形氧化層; 蝕刻該共形氧化層,以在該等間隔心軸的兩側上形成氧化膜,其中一間隙在相鄰的該等氧化膜之間;及 在該間隙中沉積一間隙填充材料。
  9. 如請求項8所述的方法,其中該基板上的該氧化層包括氧化矽。
  10. 如請求項8所述的方法,其中間隔心軸包括氮化矽。
  11. 如請求項8所述的方法,其中該間隙填充材料是藉由具有大於或等於約12的選擇性的一蝕刻過程來移除的。
  12. 如請求項8所述的方法,其中該等氧化膜包括氧化矽。
  13. 如請求項8所述的方法,其中該共形間隔層包括氧化矽。
  14. 如請求項1所述的方法,其中在形成該旋轉塗佈碳層之前,該方法更包括以下步驟: 在一基板上的一氧化層上的一蝕刻停止層上的一非晶矽層上的一第二蝕刻停止層上的旋轉塗佈碳層中形成心軸; 在該旋轉塗佈碳層中的該等心軸上形成一共形間隔層; 移除該等心軸,及方形蝕刻該共形間隔層,以在該第二蝕刻停止層上形成間隔心軸; 將該第二蝕刻停止層上的該等間隔心軸轉移到該非晶矽層以在該第一蝕刻停止層上形成間隔心軸,及移除該第二蝕刻停止層、及該非晶矽層的部分,以暴露該蝕刻停止層; 在該蝕刻停止層上的該等間隔心軸上形成一共形氧化層; 蝕刻該共形氧化層,以在該等間隔心軸的兩側上形成氧化膜,其中一間隙在相鄰的該等氧化膜之間; 在該間隙中沉積一間隙填充材料以移除該間隙; 移除該非晶矽間隔心軸而在相鄰的氧化膜之間留下間隙;及 在該等間隙中沉積一氮化矽膜以移除該等間隙。
  15. 如請求項14所述的方法,其中間隔心軸包括氮化矽。
  16. 如請求項14所述的方法,其中該間隙填充材料是藉由具有大於或等於約12的選擇性的一蝕刻過程來移除的。
  17. 如請求項14所述的方法,其中該等氧化膜包括氧化矽。
  18. 一種處理一半導體設備的方法,該方法包括以下步驟: 提供一基板,該基板具有沉積在該基板上的一氧化層,在該氧化層內的是源極區域、一汲極區域及一金屬閘極,該源極區域、該汲極區域及該金屬閘極被側壁間隔物分開,該源極區域及該汲極區域具有一頂蓋層,該頂蓋層包括沉積在該頂蓋層上的氧化鋁,且該金屬閘極具有沉積在該金屬閘極上的一金屬閘極蓋;及 從該源極區域及該汲極區域選擇性地蝕刻該頂蓋層。
  19. 如請求項18所述的方法,更包括以下步驟:在暴露的該源極區域及該汲極區域上沉積一額外金屬材料。
  20. 一種處理一半導體設備的方法,該方法包括以下步驟: 提供一基板,該基板具有沉積於該基板上的一蝕刻停止層及沉積於該蝕刻停止層上的一氧化層,該氧化層具有形成於該氧化層中的至少一個特徵,該至少一個特徵在該氧化層的表面處具有向下延伸到該蝕刻停止層的一開口;及 從該基板選擇性地蝕刻在該開口內暴露的該蝕刻停止層。
TW107133592A 2017-09-27 2018-09-25 選擇性氧化鋁蝕刻的使用 TW201921498A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762564027P 2017-09-27 2017-09-27
US62/564,027 2017-09-27

Publications (1)

Publication Number Publication Date
TW201921498A true TW201921498A (zh) 2019-06-01

Family

ID=65807991

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107133592A TW201921498A (zh) 2017-09-27 2018-09-25 選擇性氧化鋁蝕刻的使用

Country Status (3)

Country Link
US (1) US10692728B2 (zh)
TW (1) TW201921498A (zh)
WO (1) WO2019067713A1 (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201917775A (zh) * 2017-07-15 2019-05-01 美商微材料有限責任公司 用於利用放大的epe窗口切割圖案流程的遮罩方案
US10573520B2 (en) * 2018-06-12 2020-02-25 International Business Machines Corporation Multiple patterning scheme integration with planarized cut patterning
US10867854B2 (en) * 2019-01-08 2020-12-15 Tokyo Electron Limited Double plug method for tone inversion patterning
US11024511B1 (en) * 2020-04-21 2021-06-01 Winbond Electronics Corp. Patterning method
US11848209B2 (en) 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom
KR20220138921A (ko) 2021-04-06 2022-10-14 삼성전자주식회사 반도체 소자의 제조방법

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7425512B2 (en) * 2003-11-25 2008-09-16 Texas Instruments Incorporated Method for etching a substrate and a device formed using the method
US7968949B2 (en) * 2007-01-30 2011-06-28 International Business Machines Corporation Contact forming method and related semiconductor device
US20080241575A1 (en) * 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR101615654B1 (ko) * 2010-05-14 2016-05-12 삼성전자주식회사 반도체 소자의 형성방법
KR20130015145A (ko) * 2011-08-02 2013-02-13 삼성전자주식회사 반도체 소자의 미세 패턴 형성 방법
US8765599B2 (en) * 2012-01-06 2014-07-01 GlobalFoundries, Inc. Semiconductor devices having dielectric caps on contacts and related fabrication methods
US9130023B2 (en) * 2012-06-05 2015-09-08 Kabushiki Kaisha Toshiba Isolated insulating gate structure
US9461143B2 (en) * 2012-09-19 2016-10-04 Intel Corporation Gate contact structure over active gate and method to fabricate same
US9362133B2 (en) * 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
US9054159B2 (en) * 2013-03-14 2015-06-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of patterning a feature of a semiconductor device
US9761489B2 (en) * 2013-08-20 2017-09-12 Applied Materials, Inc. Self-aligned interconnects formed using substractive techniques
US9059164B2 (en) * 2013-10-22 2015-06-16 International Business Machines Corporation Embedded interlevel dielectric barrier layers for replacement metal gate field effect transistors
US9123776B2 (en) 2013-12-04 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Self-aligned double spacer patterning process
US9177797B2 (en) * 2013-12-04 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Lithography using high selectivity spacers for pitch reduction
US9406615B2 (en) * 2013-12-24 2016-08-02 Intel Corporation Techniques for forming interconnects in porous dielectric materials
US9515172B2 (en) * 2014-01-28 2016-12-06 Samsung Electronics Co., Ltd. Semiconductor devices having isolation insulating layers and methods of manufacturing the same
US9202751B2 (en) * 2014-04-07 2015-12-01 Globalfoundries Inc. Transistor contacts self-aligned in two dimensions
US9673059B2 (en) * 2015-02-02 2017-06-06 Tokyo Electron Limited Method for increasing pattern density in self-aligned patterning integration schemes
TWI640042B (zh) 2015-03-09 2018-11-01 聯華電子股份有限公司 半導體裝置之圖案化結構的製作方法
US11088030B2 (en) * 2015-12-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same
KR102514620B1 (ko) * 2016-04-28 2023-03-29 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US9773700B1 (en) * 2016-06-08 2017-09-26 International Business Machines Corporation Aligning conductive vias with trenches
US9865473B1 (en) * 2016-11-15 2018-01-09 Globalfoundries Inc. Methods of forming semiconductor devices using semi-bidirectional patterning and islands
US9881794B1 (en) * 2016-11-29 2018-01-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor methods and devices
US9941164B1 (en) * 2016-12-05 2018-04-10 Samsung Electronics Co., Ltd. Self-aligned block patterning with density assist pattern
US9887127B1 (en) * 2016-12-15 2018-02-06 Globalfoundries Inc. Interconnection lines having variable widths and partially self-aligned continuity cuts
US9905424B1 (en) * 2017-04-24 2018-02-27 Globalfoundries Inc. Self-aligned non-mandrel cut formation for tone inversion
US10211302B2 (en) * 2017-06-28 2019-02-19 International Business Machines Corporation Field effect transistor devices having gate contacts formed in active region overlapping source/drain contacts
EP3618103A1 (en) * 2018-08-30 2020-03-04 IMEC vzw A patterning method

Also Published As

Publication number Publication date
WO2019067713A1 (en) 2019-04-04
US10692728B2 (en) 2020-06-23
US20190096666A1 (en) 2019-03-28

Similar Documents

Publication Publication Date Title
US10510540B2 (en) Mask scheme for cut pattern flow with enlarged EPE window
US10388525B2 (en) Multi-angled deposition and masking for custom spacer trim and selected spacer removal
US11860550B2 (en) Multi-metal fill with self-aligned patterning and dielectric with voids
TW201921498A (zh) 選擇性氧化鋁蝕刻的使用
US8669180B1 (en) Semiconductor device with self aligned end-to-end conductive line structure and method of forming the same
US10529618B2 (en) Methods of manufacturing a semiconductor device
KR20110115319A (ko) 반도체 소자 및 그의 형성 방법
TW202121588A (zh) 半導體裝置
TW202114117A (zh) 半導體結構
US11508618B2 (en) Multicolor self-aligned contact selective etch
US11101175B2 (en) Tall trenches for via chamferless and self forming barrier
TW202109618A (zh) 圖案化半導體裝置的方法
US20240112905A1 (en) Semiconductor Device and Method
KR20230019054A (ko) 서브트랙티브 금속 에칭을 이용한 2차원 자기-정렬 방식
US11069528B2 (en) Semiconductor device and method
CN114068402A (zh) 用于减少线弯曲的金属硬掩模
US20230045826A1 (en) Methods for fabricating semicondcutor structures
US20210193512A1 (en) Semiconductor Fabrication Method for Producing Nano-Scaled Electrically Conductive Lines
CN114446770A (zh) 半导体结构及其形成方法