KR102094470B1 - 반도체 소자 및 그 제조 방법 - Google Patents

반도체 소자 및 그 제조 방법 Download PDF

Info

Publication number
KR102094470B1
KR102094470B1 KR1020140041916A KR20140041916A KR102094470B1 KR 102094470 B1 KR102094470 B1 KR 102094470B1 KR 1020140041916 A KR1020140041916 A KR 1020140041916A KR 20140041916 A KR20140041916 A KR 20140041916A KR 102094470 B1 KR102094470 B1 KR 102094470B1
Authority
KR
South Korea
Prior art keywords
region
pads
cell
gate electrodes
interlayer insulating
Prior art date
Application number
KR1020140041916A
Other languages
English (en)
Other versions
KR20150116681A (ko
Inventor
윤태환
박진택
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020140041916A priority Critical patent/KR102094470B1/ko
Priority to US14/542,709 priority patent/US9343452B2/en
Priority to DE102015101205.7A priority patent/DE102015101205B4/de
Priority to CN201810775945.9A priority patent/CN108962911B/zh
Priority to CN201510075819.9A priority patent/CN104979313B/zh
Publication of KR20150116681A publication Critical patent/KR20150116681A/ko
Application granted granted Critical
Publication of KR102094470B1 publication Critical patent/KR102094470B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/30EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region
    • H10B43/35EEPROM devices comprising charge-trapping gate insulators characterised by the memory core region with cell select transistors, e.g. NAND
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/495Lead-frames or other flat leads
    • H01L23/49517Additional leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/10Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration
    • H01L27/105Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including a plurality of individual components in a repetitive configuration including field-effect components
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/50EEPROM devices comprising charge-trapping gate insulators characterised by the boundary region between the core and peripheral circuit regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

반도체 소자는 셀 영역 및 연결 영역을 포함하는 기판을 가질 수 있다. 상기 기판의 상기 셀 영역 내에 게이트 전극들이 수직으로 적층될 수 있다. 주변 회로와 전기적으로 연결되기 위한 패드들이 상기 게이트 전극들로부터 연결 영역으로 수평으로 연장할 수 있다. 상기 패드들은 상기 연결 영역에서 계단식 구조를 형성하고, 수직 길이가 다른 콘택 플러그들과 전기적으로 연결될 수 있다. 상기 패드들은 상기 게이트 전극들보다 두꺼운 영역을 가질 수 있다.

Description

반도체 소자 및 그 제조 방법{Semiconductor Device and Method of Fabricating the Same}
본 발명은 반도체 소자 및 그 제조 방법에 관한 것으로서, 특히 게이트 전극보다 두꺼운 영역을 갖는 패드를 포함하는 반도체 소자 및 그 제조 방법에 관한 것이다.
우수한 성능 및 저렴한 가격을 충족시키기 위해 반도체 소자의 집적도를 증가시키는 것이 요구되고 있다. 특히, 메모리 소자의 집적도는 제품의 가격을 결정하는 중요한 요인이다. 종래의 2차원 메모리 소자의 집적도는 단위 메모리 셀이 점유하는 면적에 의해 주로 결정되기 때문에, 미세 패턴 형성 기술의 수준에 크게 영향을 받는다. 하지만, 패턴의 미세화를 위해서는 고가의 장비들이 필요하기 때문에, 2차원 반도체 메모리 소자의 집적도는 증가하고는 있지만 여전히 제한적이다. 이러한 한계를 극복하기 위한 대안으로, 3차원적으로 배열된 메모리 셀을 구비하는 반도체 소자들이 제안되어 왔다.
본 발명이 해결하고자 하는 과제는 반도체 소자를 제공하는 것이다.
본 발명이 해결하고자 하는 과제는 반도체 소자를 제조하는 방법을 제공하는 것이다.
본 발명이 해결하고자 하는 과제는 수직 적층된 전극들을 주변 회로에 전기적으로 연결하기 위한 반도체 소자의 연결 구조를 제공하는 것이다.
본 발명이 해결하고자 하는 과제는 수직 적층된 전극들을 주변 회로에 전기적으로 연결하기 위한 반도체 소자의 연결 구조를 제조하는 방법을 제공하는 것이다.
본 발명이 해결하고자 하는 과제는 게이트 전극보다 두꺼운 영역을 갖는 패드를 포함하는 반도체 소자를 제공하는 것이다.
본 발명이 해결하고자 하는 과제는 게이트 전극보다 두꺼운 영역을 갖는 패드를 포함하는 반도체 소자를 제조하는 방법을 제공하는 것이다.
기타, 기재되지 않은 과제들은 본문 내에 기재될 것이다.
본 발명의 기술적 사상의 일 실시예에 의한 반도체 소자는 기판 상에 적층된 게이트 전극들; 상기 게이트 전극들을 수직으로 관통하여 상기 기판과 전기적으로 연결된 수직 채널 구조들; 상기 게이트 전극들로부터 수평으로 연장하는 패드들; 및 상기 패드들과 전기적으로 연결되는 콘택 플러그들을 포함하고, 상기 패드들은 상기 게이트 전극들보다 두꺼운 영역을 가질 수 있다.
일 실시예에 따르면, 상기 패드들은 연장 영역 및 콘택 영역을 더 포함할 수 있다.
일 실시예에 따르면, 상기 연장 영역은 상기 콘택 영역에 인접하여 형성된 리세스를 더 포함할 수 있다.
일 실시예에 따르면, 상기 콘택 영역은 상기 연장 영역보다 수직으로 두꺼울 수 있다.
일 실시예에 따르면, 상기 연장 영역은 상기 게이트 전극의 두께와 실질적으로 동일할 수 있다.
일 실시예에 따르면, 상기 콘택 영역은 베이스 부 및 상기 베이스 부로부터 돌출한 돌출부를 더 포함할 수 있다.
일 실시예에 따르면, 상기 베이스 부의 외 측면은 상기 돌출부의 외측면보다 수평으로 돌출할 수 있다.
일 실시예에 따르면, 상기 패드들의 하부의 층간 절연막들을 더 포함하고, 상기 베이스 부의 상기 외측면은 상기 하부의 상기 층간 절연막의 외측면과 수직으로 정렬할 수 있다.
일 실시예에 따르면, 상기 돌출부의 외측면과 상기 베이스 부의 외측면이 수직으로 정렬될 수 있다.
일 실시예에 따르면, 상기 베이스 부의 외측면이 역(reverse) 경사(tapered)되며, 상기 돌출부의 외측면과 상기 베이스 부의 외측면이 스므드하게(smoothly) 연속될 수 있다.
일 실시예에 따르면, 상기 게이트 전극들은 접지 선택 게이트 전극, 스트링 선택 게이트 전극 및 상기 접지 선택 게이트 전극 및 스트링 선택 게이트 전극들 사이에서 적층된 셀 게이트 전극들을 포함하고, 및 상기 패드들은 접지 선택 패드, 스트링 선택 패드 및 셀 패드들을 더 포함할 수 있다.
일 실시예에 따르면, 상기 접지 선택 패드는 상기 접지 선택 게이트 전극의 두께와 실질적으로 동일할 수 있다.
일 실시예에 따르면, 상기 콘택 플러그들은 접지 선택 플러그, 셀 플러그들 및 스트링 선택 플러그를 더 포함할 수 있다.
일 실시예에 따르면, 상기 콘택 플러그들의 수직 길이는 기판과 가까울수록 길어질 수 있다.
일 실시예에 따르면, 상기 패드들의 수평 길이는 기판과 가까울수록 길어질 수 있다.
일 실시예에 따르면, 상기 수직 채널 구조는 수직 채널, 터널 절연막, 전하 저장막, 블로킹 절연막 및 충진 절연막을 더 포함할 수 있다.
본 발명의 기술적 사상의 일 실시예에 의한 반도체 소자는 셀 영역 및 연결 영역을 포함하는 기판; 상기 셀 영역에서, 상기 기판 상에 적층된 게이트 전극들; 및 상기 연결 영역에서, 상기 게이트 전극들로부터 연장하는 패드들을 포함하고, 상기 패드들의 수평 길이는 기판과 가까울수록 길고, 및 상기 패드들은 상기 게이트 전극들보다 두꺼운 영역을 가질 수 있다. 일 실시예에 따르면, 상기 패드들은 연장 영역 및 콘택 영역을 더 포함 할 수 있다.
일 실시예에 따르면, 상기 콘택 영역은 상기 연장 영역보다 수직으로 두꺼울 수 있다.
본 발명의 기술적 사상의 일 실시예에 의한 반도체 소자는 셀 영역 및 연결 영역을 포함하는 기판; 상기 셀 영역에 형성된 게이트 전극; 및 상기 게이트 전극으로부터 연장되어, 상기 연결 영역에 형성된 패드를 포함하고, 상기 패드의 평균 두께가 상기 게이트 전극의 평균 두께보다 두꺼울 수 있다.
본 발명의 기술적 사상의 일 실시예에 의한 반도체 소자를 제조하는 방법은 셀 영역 및 연결 영역을 포함하는 기판 상에 층간 절연막들 및 희생막들을 교대로 적층하고; 상기 연결 영역 내 상기 층간 절연막들 및 희생막들을 패터닝하여 상기 희생막들이 노출된 계단식 구조를 형성하고; 상기 셀 영역 및 연결 영역의 전면 상에 희생 절연막을 형성하고; 상기 희생 절연막을 부분 식각하여 계단식 구조에 노출된 희생막들 상에 희생 절연막 패턴들을 형성하고; 상기 셀 영역 내에 수직 채널 구조들을 형성하고, 동시에, 상기 연결 영역내에 더미 필라들을 형성하고; 상기 층간 절연막들 및 상기 희생막들을 연속적으로 패터닝하여 트렌치들을 형성하고; 상기 셀 영역 및 연결 영역 내의 상기 희생막들 및 희생 절연막 패턴들을 제거하여 갭 영역들을 형성하고; 및 상기 갭 영역들에 도전막을 채워 적층된 게이트 전극들 및 패드들을 형성하되, 상기 패드들은 상기 게이트 전극들보다 두꺼운 영역을 갖는 것을 포함할 수 있다.
기타 본 발명의 구체적인 사항들은 본문 내에서 보다 상세하게 설명될 것이다.
본 발명의 기술적 사상에 의한 반도체 소자는 기판 상에 적층된 복수개의 게이트 전극들로부터 연장된 패드들이 상기 게이트 전극들보다 두꺼운 영역을 포함함으로써, 상기 패드들 상에 깊이가 다른 콘택 홀들을 형성하기 위한 식각 공정을 수행하는 동안, 과도 식각된 패드들의 천공 발생을 방지하고 충분한 공정 마진을 확보할 수 있다. 이에 따라, 우수한 신뢰성을 갖는 반도체 소자를 완성할 수 있다.
도 1은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 평면도이다.
도 2는 도 1의 A의 확대도로, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 평면도이다.
도 3은 도 2의 Ⅰ-Ⅰ' 및 Ⅱ-Ⅱ'을 따라 절단한 단면도이다.
도 4a 내지 도 4b는 각각 도 3의 B 및 C의 확대도들이다.
도 5a 내지 도 5f는 도 3의 D의 확대도로, 본 실시예의 다양한 반도체 소자의 변형예들을 개시하는 단면도들이다.
도 6a 내지 도 6p는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 형성 방법을 설명하기 위하여 도 2의 Ⅰ-Ⅰ' 및 Ⅱ-Ⅱ'을 따라 절단한 단면도들이다.
도 7는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자들을 포함하는 전자시스템들의 일 예를 간략히 도시한 블록도이다.
도 8은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자들을 포함하는 메모리 카드들의 일 예를 간략히 도시한 블록도이다.
본 발명의 이점 및 특징, 그리고 그것들을 달성하는 방법은 첨부되는 도면과 함께 상세하게 후술되어 있는 실시예를 참조하면 명확해질 것이다. 그러나 본 발명은 이하에서 개시되는 실시예에 한정되는 것이 아니라 서로 다른 다양한 형태로 구현될 수 있으며, 단지 본 실시예는 본 발명의 개시가 완전하도록 하고, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 발명의 범주를 완전하게 알려주기 위해 제공되는 것이며, 본 발명은 청구항의 범주에 의해 정의될 뿐이다.
본 명세서에서 사용된 용어는 실시예들을 설명하기 위한 것이며 본 발명을 제한하고자 하는 것은 아니다. 본 명세서에서, 단수형은 문구에서 특별히 언급하지 않는 한 복수형도 포함한다. 명세서에서 사용되는 "포함한다(comprises)" 및/또는 "포함하는(comprising)" 은 언급된 구성요소, 단계, 동작 및/또는 소자는 하나 이상의 다른 구성요소, 단계, 동작 및/또는 소자의 존재 또는 추가를 배제하지 않는다. 어떤 막(또는 층)이 다른 막(또는 층) 또는 기판 상에 있다고 언급되는 경우에 그것은 다른 막(또는 층) 또는 기판 상에 직접 형성될 수 있거나 또는 그들 사이에 제3의 막(또는 층)이 개재될 수 도 있다. 또한, 도면들에 있어서, 구성들의 크기 및 두께 등은 명확성을 위하여 과장된 것이다. 또한, 본 명세서의 다양한 실시예들에서 제1, 제2, 제3 등의 용어가 다양한 영역, 막들(또는 층들) 등을 기술하기 위해서 사용되었지만, 이들 영역, 막들이 이 같은 용어들에 의해서 한정되어서는 안 된다. 이들 용어 들은 단지 어느 소정 영역 또는 막(또는 층)을 다른 영역 또는 막(또는 층)과 구별시키기 위해서 사용되었을 뿐이다. 여기에 설명되고 예시되는 각 실시예는 그것의 상보적인 실시예도 포함한다. 본 명세서에서 '및/또는'이란 표현은 전후에 나열된 구성요소들 중 적어도 하나를 포함하는 의미로 사용된다.
명세서 전문에 걸쳐 동일 참조 부호는 동일 구성 요소를 지칭한다. 따라서, 동일한 참조 부호 또는 유사한 참조 부호들은 해당 도면에서 언급 또는 설명되지 않았더라도, 다른 도면을 참조하여 설명될 수 있다. 또한, 참조 부호가 표시되지 않았더라도, 다른 도면들을 참조하여 설명될 수 있다.
도 1은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 평면도이다.
도 1을 참조하면, 반도체 소자는 메모리 셀들이 배치되는 메모리 셀 어레이(10) 및 상기 메모리 셀들을 동작시키는 기능 회로들을 포함하고 상기 메모리 셀 어레이(10)의 주변에 배치되는 주변 회로(도시 되지 않음)를 포함할 수 있다. 상기 메모리 셀 어레이(10)는 셀 영역(20) 및 상기 셀 영역(20)에 인접하여 배치되는 적어도 하나의 연결 영역(30)을 포함할 수 있다. 3차원적으로 배열된 메모리 셀들이 상기 셀 영역(20) 내에 배치될 수 있고, 상기 메모리 셀들과 기능 회로들을 연결시키기 위한 구조물들이 상기 연결 영역(30) 내에 배치될 수 있다. 본 발명의 일 실시예에 따르면, 상기 연결 영역(30)은 상기 셀 영역(20)의 일 측 또는 양 측에 배치될 수 있다.
도 2은 도 1의 A 영역의 확대도로, 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자의 평면도이고, 도 3은 도 2의 Ⅰ-Ⅰ' 및 Ⅱ-Ⅱ'을 따라 절단한 단면도이다.
도 2 및 도 3를 참조하면, 본 발명의 일 실시예에 의한 반도체 소자는 셀 영역(20) 및 연결 영역(30)을 포함할 수 있다. 상기 반도체 소자는 상기 셀 영역(20) 내에서 게이트 전극들(110), 층간 절연막들(102), 수직 채널 구조들(130), 및 공통 소스 구조들(140)을 포함할 수 있고, 및 상기 연결 영역(30) 내에서 패드들(120), 콘택 플러그들(150), 더미 필라(130a), 및 캡핑 절연막(127)을 포함할 수 있다. 상기 반도체 소자는 상기 셀 영역(20) 및 상기 연결 영역(30) 내에 공통적으로 형성된 버퍼 절연막(101), 제1 상부 층간 절연막(128), 제2 상부 층간 절연막(147), 제3 상부 층간 절연막(162), 제1 메탈 라인(160), 제2 메탈 라인(180), 배선 플러그(164), 및 비트 라인(170)을 더 포함할 수 있다.
상기 게이트 전극들(110) 및 상기 층간 절연막들(102)은 상기 수직 채널 구조(130)의 측벽을 둘러싸면서 상기 셀 영역(20)에서 상기 연결 영역(30) 방향으로 연장할 수 있다. 상기 게이트 전극들(110)은 상기 층간 절연막들(102)에 의해 서로 절연될 수 있다. 상기 게이트 전극들(110)은 적어도 하나의 접지 선택 게이트 전극(111), 다수의 셀 게이트 전극들(112) 및 적어도 두 개의 스트링 선택 게이트 전극들(113)을 포함할 수 있다. 상기 접지 선택 게이트 전극(111)은 최하부에 배치되고, 상기 스트링 선택 게이트 전극들(113)은 최상부에 배치될 수 있다. 상기 셀 게이트 전극들(112)은 상기 접지 선택 게이트 전극(111) 및 상기 스트링 선택 게이트 전극들(113) 사이에서 적층될 수 있다.
상기 스트링 선택 게이트 전극들(113)은 하부의 제1 스트링 선택 게이트 전극(113a) 및 상부의 제2 스트링 선택 게이트 전극(113b)을 포함할 수 있다. 상기 셀 게이트 전극들(112)의 두께는 실질적으로 동일할 수 있다. 상기 접지 선택 게이트 전극(111) 및 상기 스트링 선택 게이트 전극(113)은 상기 셀 게이트 전극(112)의 두께와 다를 수 있다. 예를 들어, 상기 접지 선택 게이트 전극(111) 및 상기 스트링 선택 게이트 전극(113)은 상기 셀 게이트 전극(112)보다 두꺼울 수 있다. 일 실시예에서, 상기 셀 게이트 전극들(112)은 워드 라인들에 해당할 수 있다. 상기 접지 선택 게이트 전극(111)은 접지 선택 라인에 해당할 수 있으면, 상기 스트링 선택 게이트 전극(113)은 스트링 선택 라인에 해당할 수 있다. 상기 게이트 전극들(110)은 텅스텐, 구리 또는 금속 실리사이드 등의 금속 물질을 포함할 수 있다.
상기 층간 절연막들(102)의 두께들은 서로 동일 하지 않을 수 있다. 예를 들어, 최하부에 위치한 상기 층간 절연막(102)은 다른 층간 절연막들(102)보다 두꺼울 수 있다. 상기 층간 절연막들(102)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 등의 절연 물질을 포함할 수 있다. 상기 셀 영역(20) 내에서, 상기 게이트 전극들(110) 및 상기 층간 절연막들(102)은 서로 교대로 번갈아 적층될 수 있다.
상기 수직 채널 구조들(130)은 각각 상기 게이트 전극들(110) 및 상기 층간 절연막들(102)을 수직으로 관통하여 상기 기판(100)과 접촉할 수 있다. 도 2에 개시된 바와 같이, 상기 수직 채널 구조들(130)은 평면적 관점에서 2차원적으로 배열될 수 있다. 상기 수직 채널 구조들(130)은 지그재그(zigzag) 형태로 배열될 수 있다. 상기 수직 채널 구조들(130)에 대한 보다 상세한 설명은 도 4a 및 4b를 참조하여 후술될 것이다.
상기 공통 소스 구조들(140)은 상기 게이트 전극들(110) 및 상기 층간 절연막들(102)을 수직으로 관통할 수 있다. 상기 공통 소스 구조들(140)은 각각 공통 소스 영역(141), 공통 소스 라인(142) 및 스페이서(143)를 포함할 수 있다. 상기 공통 소스 영역(141)은 상기 기판(100) 내에 형성될 수 있다. 상기 공통 소스 영역(141)은 상기 기판(100) 내에 주입된 P 또는 As 같은 불순물을 포함할 수 있다. 상기 공통 소스 라인(142)은 상기 게이트 전극들(110) 및 상기 층간 절연막들(102)을 수직으로 관통하여 상기 공통 소스 영역(141)과 접촉할 수 있다. 상기 공통 소스 라인(142)은 텅스텐 또는 기타 금속 같은 전도체를 포함할 수 있다. 상기 공통 소스 라인(142)은 댐(dam) 모양을 가질 수 있다. 예를 들어, 상면도(in a top view)에서 일 방향으로 연장하는 라인 또는 바(bar) 모양을 가질 수 있다. 상기 스페이서(143)는 상기 공통 소스 라인(142), 및 상기 게이트 전극들(110)과 상기 층간 절연막들(102) 사이에 형성될 수 있다. 상기 스페이서(143)는 상기 공통 소스 라인(142)의 측벽들 상에 배치될 수 있다. 상기 스페이서(143)는 공통 소스 라인(142)을 게이트 전극들(110)로부터 절연시킬 수 있다. 상기 스페이서(143)는 실리콘 산화물, 실리콘 질화물, 실리콘 산 질화물, 또는 기타 절연물을 포함할 수 있다.
상기 연결 영역(30) 내에서 상기 패드들(120)과 상기 층간 절연막들(102)은 교대로 적층될 수 있다. 상기 패드들(120)은 접지 선택 패드(121), 셀 패드들(122) 및 스트링 선택 패드들(123)을 포함할 수 있다. 상기 접지 선택, 셀 및 스트링 선택 패드들(121, 122, 123)은 상기 셀 영역(20) 내 상기 접지 선택, 셀 및 스트링 선택 게이트 전극들(111, 112, 113)로부터 각각 연장하여 상기 연결 영역(30) 내에서 계단식 구조를 형성할 수 있다. 상기 패드들(120)에 대한 상세한 설명들은 도 5a 내지 도 5f를 참조하여 후술한다.
상기 콘택 플러그들(150)은 상기 캡핑 절연막(127), 상기 제1 상부 층간 절연막(128), 및 제2 상부 층간 절연막(147)을 수직으로 관통하여 상기 패드들(121, 122, 123a, 123b: 120)을 상기 제1 메탈 라인(160) 및 제2 메탈 라인(180)과 전기적으로 연결할 수 있다. 상기 콘택 플러그들(150)은 접지 선택 플러그(151), 셀 플러그들(152) 및 스트링 선택 플러그들(153)을 포함할 수 있다. 상기 셀 및 스트링 선택 플러그들(152, 153a, 153b)은 상기 셀 패드들(122) 및 스트링 선택 패드들(123a, 123b)에 각각 접촉될 수 있다. 상기 접지 선택 플러그(151)는 상기 캡핑 절연막(127), 상기 제1 및 제2 상부 층간 절연막들(128, 147) 및 최하부의 상기 층간 절연막(102)을 관통하여 상기 접지 선택 패드(121)에 접촉할 수 있다. 도 2를 참조하면, 상기 셀 및 접지 선택 플러그들(152, 151)은 셀 및 접지 선택 패드들(122, 121)의 콘택 영역의 중심을 가로지르는 가상적인 일직선 상에 배치될 수 있다. 상기 스트링 선택 플러그들(153)은 상기 스트링 선택 패드들(123)의 중심으로부터 서로 이격되어 배치될 수 있다.
상기 더미 필라들(130a)은 상기 패드들(120) 및 상기 층간 절연막들(102)을 관통하여 상기 기판(100)에 접촉할 수 있다. 상기 더미 필라들(130a)은 서로 인접한 상기 패드들(120)의 경계를 관통할 수 있다. 도 2에 개시된 바와 같이, 두 개의 더미 필라들(130a)이 상기 각 패드(120)의 경계에 배치될 수 있다. 상기 더미 필라(130a)은 상기 수직 채널 구조(130)와 동일한 구조를 가질 수 있다.
상기 버퍼 절연막(101)은 상기 셀 영역(20) 내에서 상기 접지 선택 게이트 전극(111)과 상기 기판(100) 사이에 개재될 수 있고, 및 상기 연결 영역(30) 내에서 상기 접지 선택 패드(121)와 상기 기판(100) 사이에 개재될 수 있다. 상기 버퍼 절연막(101)은 실리콘 산화물, 실리콘 질화물, 실리콘 산질화물 등의 절연 물질을 포함할 수 있다.
상기 캡핑 절연막(127)은 상기 연결 영역(30) 내에서 상기 패드들(120)의 상면 및 측면 상에 형성될 수 있다.
상기 제1 상부 층간 절연막(128)이 상기 셀 영역(20) 내에서 상기 최상부에 위치한 상기 층간 절연막(102) 상에 상기 수직 채널 구조들(130) 및 상기 공통 소스 구조들(140)의 측면들을 감싸고, 및 상기 연결 영역(30) 내에서 상기 캡핑 절연막(127) 상에 상기 더미 필라(130a) 및 상기 콘택 플러그들(150)의 측면들을 감싸도록 형성될 수 있다. 예를 들어, 상기 수직 채널 구조(130) 및 상기 공통 소스 구조(140)는 상기 제1 상부 층간 절연막(128)을 수직으로 관통할 수 있다. 상기 셀 영역(20) 내에 형성된 상기 제1 상부 층간 절연막(128)의 하면은 상기 연결 영역(30) 내에 형성된 상기 제1 상부 층간 절연막(128)의 하면보다 낮은 레벨에 위치할 수 있다.
상기 제2 상부 층간 절연막(147)은 상기 셀 영역(20) 내에서 상기 수직 채널 구조(130) 및 상기 공통 소스 구조(140)를 덮도록 상기 제1 상부 층간 절연막(128) 상에 형성될 수 있다. 상기 제2 상부 층간 절연막(147)은 상기 연결 영역(30) 내에서 상기 더미 필라(130a)를 덮을 수 있다.
상기 제3 상부 층간 절연막(162)은 상기 제2 상부 층간 절연막(147) 상에 형성될 수 있다. 상기 제3 상부 층간 절연막(1262)는 상기 배선 플러그들(164)의 측면들을 감싸고, 및 상기 연결 영역(30) 내에서 상기 제1 메탈 라인들(160)을 덮을 수 있다. 상기 캡핑 절연막(127) 및 상기 제1 내지 제3 상부 층간 절연막들(128, 147, 162)은 고밀도플라즈마(HDP) 산화막, TEOS(TetraEthylOrthoSilicate), PE-TEOS(Plasma Enhanced TetraEthylOrthoSilicate), O3-TEOS(O3-Tetra Ethyl Ortho Silicate), USG(Undoped Silicate Glass), PSG(PhosphoSilicate Glass), BSG(Borosilicate Glass), BPSG(BoroPhosphoSilicate Glass), FSG(Fluoride Silicate Glass), SOG(Spin On Glass), TOSZ(Tonen SilaZene) 또는 이들의 조합들 중 하나 이상을 포함할 수 있다.
상기 비트 라인(170)은 상기 제3 상부 층간 절연막(162) 상에 형성될 수 있다. 상기 비트 라인(170)은 텅스텐(W) 같은 금속을 포함할 수 있다.
상기 배선 플러그(164)는 상기 비트 라인(170)과 상기 수직 채널 구조(130) 사이에 형성될 수 있다. 상기 배선 플러그(164)는 상기 비트 라인(170)과 상기 수직 채널 구조(130)를 전기적으로 연결할 수 있다. 상기 배선 플러그(164)는 도핑된 실리콘, 금속 실리사이드, 또는 금속 같은 전도체를 포함할 수 있다.
도 2 및 도 3를 다시 참조하면, 상기 제1 메탈 라인들(160)은 상기 접지 선택 플러그(151) 및 상기 셀 플러그들(152)과 정렬하도록 상기 연결 영역(30) 내의 상기 제2 상부 층간 절연막(147) 상에 배치될 수 있다. 상기 셀 플러그들(152) 및 상기 접지 선택 플러그(151)는 상기 제1 메탈 라인들(160)과 각각 전기적으로 연결될 수 있다.
상기 제2 메탈 라인(180)은 제3 상부 층간 절연막(162) 상에 각각 배치될 수 있다. 상기 제2 메탈 라인들(180)은 상기 배선 플러그들(164)을 통하여 상기 제1 및 제2 스트링 선택 플러그들(153a, 153b)과 전기적으로 연결될 수 있다.
본 발명의 기술적 사상의 일 실시예에 의한 반도체 소자는, 상기 연결 영역(30)내에 계단식 구조를 갖는 상기 패드들(120) 및 상기 패드들(120)과 전기적으로 연결되는 수직 길이가 다른 콘택 플러그들(150)을 포함할 수 있다. 상기 패드들(120)은 상기 게이트 전극들(110)로부터 연장된 연장 영역(50)과 상기 콘택 플러그들(150)과 전기적으로 연결되는 콘택 영역(55)을 포함할 수 있다. 상기 콘택 영역(55)이 상기 연장 영역(50)보다 수직으로 두꺼운 구조를 가짐으로써, 수직 길이가 다른 콘택 플러그들(150)의 형성을 위한 공정 마진을 충분히 확보할 수 있다.
도 4a 및 도 4b는 도 3의 수직 채널 구조(130) 및 더미 필라들(130a)를 설명하기 위하여 도 3의 B 및 C 부분들을 확대한 도면들이다.
도 4a를 참조하면, 상기 수직 채널 구조(130)는 상기 기판(100)으로부터 위로 연장하는 수직 채널(131), 터널 절연막(132), 전하 저장막(133), 블로킹 절연막(134) 및 충진 절연막(135)을 포함할 수 있다.
상기 터널 절연막(132), 상기 전하 저장막(133) 및 상기 블로킹 절연막(134)이 상기 게이트 전극(110) 및 상기 수직 채널(131) 사이에 개재될 수 있다. 상기 블로킹 절연막(134)은 상기 셀 게이트 전극(112)에 인접할 수 있으며, 상기 터널 절연막(132)은 상기 수직 채널(131)에 인접할 수 있다. 상기 전하 저장막(133)은 상기 블로킹 절연막(134) 및 상기 터널 절연막(132) 사이에 배치될 수 있다. 상기 터널 절연막(132)은 실리콘 산화막, 실리콘 질화막 또는 실리콘 산화질화막 중에서 적어도 하나를 포함할 수 있다. 상기 전하 저장막(133)은 트랩 사이트들을 포함하는 실리콘 질화막 또는 도전성 나노 도트들(conductive nano dots)을 포함하는 절연막을 포함할 수 있다. 상기 블로킹 절연막(134)은 상기 터널 절연막(132)에 비하여 높은 유전 상수를 갖는 고유전막을 포함할 수 있다.
상기 블로킹 절연막(134) 전하 저장막(133) 및 터널 절연막(132)은 수직으로 연장하여 상기 수직 채널(131)의 측벽을 덮을 수 있다. 이에 더하여, 상기 수직 채널(131)은 마카로니 형태 또는 파이프 형태를 가질 수 있으며, 상기 수직 채널 구조(130)는 수직 채널(131)의 내부를 채우는 충진 절연막(135)을 포함할 수 있다. 예컨대, 상기 충진 절연막(135)은 실리콘 산화물을 포함할 수 있다. 도 4b를 참조하면, 상기 더미 필라(130a)는 상기 수직 채널 구조(130)와 동일한 구조를 가질 수 있다. 본 발명의 실시예에 따르면, 상기 더미 필라(130a)는 상기 수직 채널 구조(130)와 같이, 상기 블로킹 절연막(134), 전하 저장막(133), 터널 절연막(132), 상기 수직 채널(131) 및 상기 충진 절연막(135)을 포함할 수 있다.
도 5a 내지 도 5f는 도 3의 패드들(120)의 다양한 실시예들을 설명하기 위하여 도 3의 D 부분들을 확대한 도면들이다.
도 5a를 참조하면, 본 발명의 일 실시예에 의한 패드(120)는 연장 영역(50) 및 콘택 영역(55)을 포함할 수 있다.
상기 연장 영역(50)은 상기 게이트 전극들(110)로부터 수평으로 연장한 부분일 수 있다. 상기 연장 영역(50)은 상기 게이트 전극들(110)과 공면(co-planar)을 가질 수 있다.
상기 콘택 영역(55)은 베이스 부(60)와 돌출부(65)를 포함할 수 있다. 상기 베이스 부(60)는 가상적으로 상기 연장 영역(50)의 일부일 수 있다. 예를 들어, 상기 베이스 부(60)의 상면은 실질적 또는 가상적으로 상기 연장 영역(50)의 상면과 공면(co-planar)을 가질 수 있다. 상기 베이스 부(60)의 단부(ending portion)의 외측면은 하부에 위치한 상기 층간 절연막(102)의 단부의 외측면과 수직으로 정렬할 수 있다. 상기 돌출부(65)는 상기 베이스 부(60)로부터 위로 돌출할 수 있다. 따라서, 상기 콘택 영역(55)은 상기 연장 영역(50)보다 두꺼울 수 있다. 상기 콘택 영역(55)의 하면과 상기 연장 영역(50)의 하면은 공면을 가질 수 있다. 상기 돌출부(65)의 측면들은 경사질 수 있다. 상기 돌출부(65)의 내측면은 상기 연장 영역(50)을 향하고, 평평(flat)한 표면을 가질 수 있다. 상기 돌출부(65)의 외측면은 상기 내측면과 대향(opposite)하고, 라운드질 수 있다. 상기 돌출부(65)의 상면은 평평(flat)할 수 있다. 상기 베이스 부(60)의 단부는 상기 돌출부(65)보다 수평으로 돌출할 수 있다.
도 5b를 참조하면, 본 발명의 일 실시예에 의한 패드(120)의 상기 콘택 영역(55)은 베이스 부(60) 및 돌출부(65)를 포함하고, 상기 돌출부(65)의 외측면과 상기 베이스 부(60)의 외측면이 실질적으로 수직으로 정렬될 수 있다.
도 5c를 참조하면, 본 발명의 일 실시예에 의한 패드(120)의 상기 베이스 부(60)의 외측면 및/또는 상기 돌출부(65)의 외측면이 역(reverse) 경사(tapered)지는 것을 포함할 수 있다. 상기 상기 돌출부(65)의 외측면과 상기 베이스부(60)의 외측면은 스므드하게(smoothly) 연속할 수 있다.
도 5d 내지 도 5f를 참조하면, 본 발명의 실시예들에 의한 패드들(120)은 도 5a 내지 5c를 더 참조하여, 상기 콘택 영역(55)에 인접한 연장 영역(50)이 리세스(126)를 각각 더 포함할 수 있다.
도 6a 내지 도 6p는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자를 형성하는 방법을 설명하기 위하여 도 2의 Ⅰ-Ⅰ' 및 Ⅱ-Ⅱ'을 따라 절단한 단면도들이다.
도 6a를 참조하면, 본 발명의 일 실시예에 의한 반도체 소자를 제조하는 방법은 셀 영역(20) 및 연결 영역(30)을 포함하는 기판(100) 상에 버퍼 절연막(101)을 형성하고, 상기 버퍼 절연막(101) 상에 희생막들(103) 및 층간 절연막들(102)을 교대로 반복적으로 형성하는 것을 포함할 수 있다. 최상위에 위치한 상기 층간 절연막(102) 상에 연마 저지막(104)이 형성될 수 있다. 복수의 층간 절연막들(102) 및 복수의 희생막들(103)이 상기 버퍼 절연막(101) 상에 상기 기판(100)에 수직한 방향으로 교대로 적층될 수 있다.
상기 기판(100)은 실리콘 웨이퍼, 에피택셜 성장한 SiGe 웨이퍼, 또는 SOI 웨이퍼를 포함할 수 있다.
상기 버퍼 절연막(101) 및 상기 층간 절연막들(102)은 실리콘 산화물 같은 절연 물질을 포함할 수 있다. 상기 버퍼 절연막(101)은 상기 층간 절연막들(102)보다 얇을 수 있다. 상기 층간 절연막들(102)의 두께는 서로 동일하지 않을 수 있다. 예를 들어, 최하부에 위치한 상기 층간 절연막(102)은 다른 층간 절연막들(102) 보다 두꺼울 수 있다.
상기 희생막들(103) 및 상기 연마 저지막(104)은 상기 버퍼 절연막(101) 및 상기 층간 절연막들(102)에 대하여 식각 선택비를 갖는 물질을 포함할 수 있다. 예를 들어, 상기 희생막들(103) 및 상기 연막 저지막(104)은 실리콘 질화물 같은 절연 물질을 포함할 수 있다.
도 6b를 참조하면, 상기 방법은 상기 연결 영역(30) 내의 상기 층간 절연막들(102), 상기 희생막들(103) 및 상기 연막 저지막(104)을 패터닝하여 계단식 구조를 형성하는 것을 포함할 수 있다. 상기 계단식 구조는 상기 층간 절연막들(102), 상기 희생막들(103) 및 상기 연막 저지막(104)을 다수 회 패터닝하여 식각하여 구현할 수 있다.
도 6c를 참조하면, 상기 방법은 상기 계단식 구조 상에 노출된 상기 층간 절연막들(102)을 식각하여 상기 희생막들(103)을 노출시키는 것을 포함할 수 있다. 패터닝된 상기 연막 저지막(104)을 식각 마스크로 이용하고 상기 희생막들(103)을 식각 정지막으로 이용하여 상기 계단식 구조 상에 노출된 층간 절연막들(102)이 제거될 수 있다. 상기 계단식 구조 상에 최하위 층간 절연막(102)은 부분적 식각되어 최하위 희생막(103)이 노출 되지 않을 수 있다.
도 6d를 참조하면, 상기 방법은 상기 셀 영역(20) 내의 상기 연마 저지막(104) 및 상기 연결 영역(30) 내의 상기 계단식 구조를 갖는 상기 희생막들(103) 상에 희생 절연막(125)을 형성하는 것을 포함할 수 있다. 상기 희생 절연막(125)은 50% 이하의 스텝 커버리지 특성을 갖도록 증착될 수 있다. 스텝 커버리지는 상기 계단식 구조의 상면과 측면에 증착된 상기 희생 절연막(125)의 두께의 비율로 정의될 수 있다. 예를 들어, 계단식 구조의 상면 상에 형성된 상기 희생 절연막(125)의 두께가 t1이고, 측면 상에 형성된 상기 희생 절연막(125)의 두께가 t2이면, 상기 t1과 상기 t2의 비율을 의미할 수 있다. 본 발명의 일 실시예에 따르면, 상기 t2는 상기 t1의 절반 이하의 두께를 가질 수 있다. 또한, 상기 계단식 구조의 측면들과 상면들이 만나는 코너들에서 상기 희생 절연막(125)의 두께가 가장 얇게 증착될 수 있다. 상기 희생 절연막(125)은 상기 희생막(103)과 동일한 물질을 포함할 수 있다. 상기 희생 절연막(125)은 상기 층간 절연막들(102)에 대해 식각 선택비를 갖는 물질을 포함할 수 있다. 예를 들어, 상기 희생 절연막(125)은 실리콘 질화물 같은 절연 물질을 포함할 수 있다.
도 6e를 참조하면, 상기 방법은 상기 희생 절연막(125)을 부분 식각하여 희생 절연막 패턴들(125a)을 형성하는 것을 포함할 수 있다. 상기 희생 절연막(125)은 부분 식각 공정을 수행하여, 복수의 희생 절연막 패턴들(125a)로 분리될 수 있다. 예를 들어, 층간 절연막들(102)의 측면 상에 형성된 상기 희생 절연막(125)은 완전히 제거될 수 있다. 상기 분리된 희생 절연막 패턴들(125a)들은 상기 셀 영역(20) 내의 상기 연막 저지막(104) 및 상기 연결 영역(30) 내의 상기 계단식 구조를 갖는 상기 희생막들(103)의 상기 상면에 형성될 수 있다. 또한, 희생 절연막 패턴들(125a)은 상기 희생막들(103) 상면들을 완전히 커버하지 않을 수 있다. 이에 따라, 상기 희생막들(103)의 상면들의 일부가 노출될 수 있다. 상기 식각 공정은 등방성 식각 공정을 포함할 수 있다. 상기 등방성 식각 공정은 습식 식각 공정 및 건식 식각 공정을 포함할 수 있다. 상기 습식 식각 공정은 인산을 포함하는 식각액을 사용할 수 있다.
도 6f를 참조하면, 상기 방법은 전면적으로 캡핑 절연막(127)을 형성하는 것을 포함할 수 있다. 일 실시예에 따르면, 상기 캡핑 절연막(127)은 상기 희생 절연막 패턴(125a)을 덮도록 충분한 두께를 갖도록 증착될 수 있다. 상기 캡핑 절연막(127)은 상기 연마 저지막(104)에 대해 식각 선택비를 갖는 물질을 포함할 수 있다. 상기 캡핑 절연막(127)은 예를 들어, 고밀도플라즈마(HDP) 산화막, TEOS (TetraEthylOrthoSilicate), PE-TEOS(Plasma Enhanced TetraEthylOrthoSilicate), O3-TEOS(O3-Tetra Ethyl Ortho Silicate), USG(Undoped Silicate Glass), PSG(PhosphoSilicate Glass), BSG(Borosilicate Glass), BPSG (BoroPhosphoSilicate Glass), FSG(Fluoride Silicate Glass), SOG(Spin On Glass), TOSZ(Tonen SilaZene) 또는 이들의 조합들 중 하나를 포함할 수 있다.
도 6g를 참조하면, 상기 방법은 평탄화 공정을 수행하여 상기 캡핑 절연막(127)을 평탄화하는 것을 포함할 수 있다. 상기 평탄화 공정은 화학적 기계적 연마(Chemical Mechanical Polishing, CMP) 공정을 포함할 수 있다. 상기 평탄화 공정은 상기 연마 저지막(104)을 평탄화 종료점으로 이용하여 수행될 수 있다. 따라서, 상기 연마 저지막(104)의 상면이 부분적 또는 전체적으로 노출될 수 있다. 상기 연마 저지막(104) 상의 상기 희생 절연막 패턴(125a)은 상기 평탄화 공정에 의해 부분적 또는 완전히 제거될 수 있다. 다른 실시예에서, 상기 연마 저지막(104) 상의 상기 희생 절연막 패턴(125a)이 상기 평탄화 종료점으로 이용될 수도 있다. 이 경우, 상기 평탄화 공정은 상기 희생 절연막 패턴(125a)이 제거되도록 초과(over polish) 수행될 수 있다.
도 6h를 참조하면, 상기 방법은 상기 연마 저지막(104)을 제거하고, 제1 상부 층간 절연막(128)을 형성하는 것을 포함할 수 있다. 상기 연마 저지막(104)은 습식 식각 공정을 수행하여 제거될 수 있다. 상기 연마 저지막(104)이 제거되어 최상위에 위치한 상기 층간 절연막(102)이 노출될 수 있다. 상기 습식 식각 공정은 인산을 포함하는 식각액이 사용될 수 있다. 따라서, 상기 캡핑 절연막(127)은 상기 셀 영역(20) 내에서는 모두 제거되고, 상기 연결 영역(30) 내에만 잔존할 수 있다. 상기 제1 상부 층간 절연막(128)이 상기 층간 절연막(102) 및 상기 캡핑 절연막(127) 상에 전면적으로 형성될 수 있다. 상기 제1 상부 층간 절연막(128)은 상기 캡핑 절연막(127)과 동일한 물질을 포함할 수 있다. 다른 실시예에서, 상기 제1 상부 층간 절연막(128)의 상면이 에치-백 공정 또는 화학적 기계적 연마 공정을 이용하여 평탄화될 수 있다.
도 6i를 참조하면, 상기 방법은 상기 셀 영역(20) 내에 수직 채널 구조들(130)을 형성하고 및 상기 연결 영역(30) 내에 더미 필라들(130a)을 형성하는 것을 포함할 수 있다. 예를 들어, 상기 방법은 상기 셀 영역(20) 내에 상기 제1 상부 층간 절연막(128), 상기 층간 절연막들(102), 희생막들(103) 및 버퍼 절연막(101)을 수직으로 관통하는 채널 홀들(129)을 형성하고, 및 상기 채널 홀들(129) 내에 상기 수직 채널 구조들(130)을 형성하고, 및 상기 연결 영역(30) 내에 상기 제1 상부 층간 절연막(128), 상기 캡핑 절연막(127), 층간 절연막들(102), 희생막들(103) 및 버퍼 절연막(101)을 수직으로 관통하는 더미 홀들(129a)을 형성하고, 및 상기 더미 홀들(129a) 내에 상기 상기 더미 필라들(130a)을 형성하는 것을 포함할 수 있다. 상기 채널 홀들(129) 및 상기 더미 홀들(129a)은 동시에 형성될 수 있다. 수직 채널 구조들(130) 및 더미 필라들(130a)은 동시에 형성될 수 있다. 수직 채널 구조들(130) 및 더미 필라들(130a)은 도 4a 내지 4b에 개시된 예와 같이 형성될 수 있다.
도 6j를 참조하면, 상기 방법은 상기 층간 절연막들(102), 상기 희생막들(103), 상기 버퍼 절연막(101) 및 상기 제1 상부 층간 절연막(128) 및 상기 캡핑 절연막(127)을 연속적으로 패터닝하여 트렌치들(136)을 형성하는 것을 포함할 수 있다. 상기 트렌치들(136)은 셀 영역(20)으로부터 연결 영역(30)내로 연장될 수 있다. 상기 트렌치들(136)은 상기 수직 채널 구조들(130)로부터 이격되어 상기 층간 절연막들(102), 상기 희생막들(103), 상기 버퍼 절연막(101) 및 상기 제1 상부 층간 절연막(128)의 측면들을 노출시킬 수 있다. 수평적 모양에 있어서, 상기 트렌치들(136)은 라인 형태, 바(bar) 형태, 또는 직사각형으로 형성될 수 있으며, 수직적 깊이에 있어서, 상기 트렌치들(136)은 기판(100)의 상면을 노출시킬 수 있다. 일 실시예에 따르면, 상기 트렌치들(136)을 형성하는 동안 과도 식각(over etch)에 의해 상기 트렌치(136)에 노출되는 기판(100)의 상기 상면이 리세스될 수 있다. 또한 상기 트렌치(136)는 이방성 식각 공정에 의해 기판(100)으로부터의 거리에 따라 다른 폭을 가질 수 있다.
도 6k를 참조하면, 상기 방법은 식각 공정을 수행하여 상기 희생막들(103) 및 희생 절연막 패턴들(125a)을 제거하여 갭 영역들(138)을 형성하는 것을 포함할 수 있다. 상기 식각 공정은 상기 층간 절연막들(102), 상기 제1 상부 층간 절연막(128) 및 상기 캡핑 절연막(127)에 대해 식각 선택성을 갖는 식각액을 사용하여 상기 트렌치들(136)을 통해 상기 희생막들(103) 및 상기 희생 절연막 패턴들(125a)을 등방적으로 식각하는 것을 포함할 수 있다. 예를 들어, 상기 희생막들(103) 및 상기 희생 절연막 패턴들(125a)이 실리콘 질화막이고, 상기 층간 절연막들(102), 상기 제1 상부 층간 절연막(128) 및 상기 캡핑 절연막(127)이 실리콘 산화막인 경우, 식각 단계는 인산을 포함하는 식각액을 사용하여 수행될 수 있다. 상기 갭 영역들(138)은 상기 트렌치(136)로부터 상기 층간 절연막들(102) 사이로 수평적으로 연장되어, 상기 수직 채널 구조들(130) 및 상기 더미 필라들(130a)의 측벽 일부분들을 노출할 수 있다. 상기 연결 영역(30) 내의 상기 갭 영역(138)은 상기 희생 절연막 패턴(125a)이 제거됨에 의해 확대될 수 있다. 이와 같이, 상기 계단식 구조에 형성된 갭 영역(138)의 수직 폭은 희생막(103) 및 희생 절연막 패턴(125a)의 수직 두께에 의해 결정될 수 있다.
도 6l를 참조하면, 상기 방법은 상기 갭 영역들(138)을 채우는 도전막(139)을 형성하는 것을 포함할 수 있다. 상기 도전막(139)은 우수한 단차 도포성을 제공할 수 있는 증착 기술(예를 들면, 화학기상증착 또는 원자층 증착 기술)을 사용하여 형성될 수 있다. 이에 따라, 상기 도전막(139)은 상기 갭 영역들(138)을 채우면서 상기 트렌치(136)의 내부 및 상기 제1 상부 층간 절연막(128)의 상면 상에 컨포멀하게 형성될 수 있다. 상기 도전막(139)은 도핑된 폴리실리콘, 텅스텐, 금속 질화막들 및 금속 실리사이드를 중의 적어도 하나를 포함할 수 있다. 일 실시예에 따르면, 상기 도전막(139)을 형성하는 것은 배리어 금속막(예를 들어, 금속 질화물) 및 금속막(예를 들어, 텅스텐)을 순차적으로 형성하는 것을 포함할 수 있다.
도 6m를 참조하면, 상기 방법은 제거 공정을 수행하여 상기 갭 영역들(138) 내에 형성된 도전막(139)을 제외한 외부의 도전막(139)을 제거하여 수직적으로 서로 분리된 게이트 전극들(110) 및 패드들(120)을 형성하는 것을 포함할 수 있다. 예를 들어, 상기 트렌치들(130)의 내부, 및 상기 제1 상부 층간 절연막(128) 상의 도전막(139)를 제거하는 것을 포함할 수 있다. 상기 제거 공정은 이방성 식각 공정을 포함할 수 있다. 상기 게이트 전극들(110)은 접지 선택 게이트 전극(111), 스트링 선택 게이트 전극(113), 및 상기 접지 선택 게이트 전극(111)과 스트링 선택 게이트 전극들(113) 사이에 적층된 셀 게이트 전극들(112)을 포함할 수 있다. 또한, 스트링 선택 게이트 전극(113)은 제1 스트링 선택 게이트 전극(113a) 및 제2 스트링 선택 게이트 전극(113b)을 포함할 수 있다. 상기 패드들(120)은 접지 선택 패드(121) 및 스트링 선택 패드(123) 및 상기 접지 선택 패드(121) 및 스트링 선택 패드들(123) 사이에서 계단식 구조로 적층된 셀 패드들(122)을 포함할 수 있다. 또한 상기 스트링 선택 패드(123)는 제1 스트링 선택 패드(123a) 및 제2 스트링 선택 패드(123b)를 포함할 수 있다. 상기 트렌치(136)에서 상기 도전막(139)을 제거함에 따라, 상기 기판(100)의 상면이 노출될 수 있다.
도 6m을 더 참조하면, 상기 방법은 이온 주입 공정을 수행하여 상기 기판(100) 내에 공통 소스 영역들(141)을 형성하는 것을 포함할 수 있다. 상기 공통 소스 영역들(141)은 상기 게이트 전극들(110)을 형성한 후에 형성될 수 있다. 또는, 상기 공통 소스 영역들(141)은 상기 트렌치들(136)의 형성 후 및 상기 희생막들(103)을 제거하기 전에 형성될 수도 있다. 상기 공통 소스 영역(141)은 상기 트렌치(136)의 수평적 모양처럼, 일방향으로 연장된 라인 형태일 수 있다. 상기 공통 소스 영역(141)은 N형 불순물을 포함할 수 있다.
도 6n을 참조하면, 상기 방법은 상기 트렌치들(136)의 측벽들 상에 스페이서들(143)을 형성하는 것을 포함할 수 있다. 상기 스페이서(143)는 상기 기판(100) 전면 상에 스페이서용 절연막을 증착하여 이방성 식각 공정을 수행함으로써 형성될 수 있다. 상기 스페이서(143)는 실리콘 산화물, 실리콘 질화물, 실리콘 산 질화물 또는 기타 절연물을 포함할 수 있다.
도 6o을 참조하면, 상기 방법은 상기 트렌치들(136)의 내부에 텅스텐 같은 도전성 물질을 채워 상기 공통 소오스 영역(141)과 연결되는 공통 소오스 라인들(142)을 형성하는 것을 포함할 수 있다. 다음에, 상기 방법은 전면적으로 제2 상부 층간 절연막(147)을 형성하는 것을 포함할 수 있다. 일 실시예에 따르면, 상기 공통 소스 라인(142)을 형성하는 것은 배리어 금속막(예를 들어, 금속 질화물) 및 금속막(예를 들어, 텅스텐)을 순차적으로 형성하는 것을 포함할 수 있다. 상기 공통 소오스 라인(142)은 상기 트렌치(136)를 따라 일방향으로 연장된 라인 형태일 수 있다.
도 6p를 참조하면, 상기 방법은 식각 공정을 수행하여 상기 패드들(120)을 노출시키는 콘택 홀들(150h)을 형성하는 것을 포함할 수 있다. 상기 식각 공정은 상기 제2 상부 층간 절연막(147) 상에 포토레지스트 패턴(149)을 형성하고, 상기 포토레지스트 패턴(149)을 식각 마스크로 사용하여 상기 캡핑 절연막(127), 상기 제1 및 제2 상부 층간 절연막들(128, 147) 및 상기 최하부 절연막(102)을 이방성 식각 공정으로 패터닝하여 형성될 수 있다. 상기 콘택 홀들(150h)들은 제1 콘택 홀들(151h), 제2 콘택 홀들(152h) 및 제3 콘택 홀들(153h)을 포함할 수 있다. 상기 제1 콘택 홀들(151h)은 상기 제1 및 제2 스트링 선택 패드들(123a, 123b) 상에 각각 형성될 수 있다. 상기 제2 콘택 홀들(152h)은 상기 셀 패드들(122) 상에 각각 형성될 수 있다. 상기 제3 콘택 홀(153h)은 상기 접지 선택 패드(121) 상에 형성될 수 있다.
상기 콘택 홀들(150h)은 상기 계단식 구조를 이루는 패드들(120) 상에 형성되기 때문에 상기 콘택 홀들(150h)의 수직 길이가 서로 다를 수 있다. 이에 따라, 상기 콘택 홀들(150h)을 형성하는 동안 과도 식각(over etch)에 의해 상기 콘택 홀들(150h)의 바닥에 노출되는 상기 패드들(120)의 상면이 리세스되는 양이 다를 수 있다. 구체적으로, 상부에 위치할수록 상기 콘택 홀들(150h)의 바닥에 노출되는 상기 패드들(120)은 상기 캡핑 절연막(127)을 식각하는 공정에 의해 심한 어택 및 데미지를 받으므로, 깊은 콘택 홀(150h)보다 얕은 콘택 홀(150h)에 노출되는 패드들(120)이 더 많이 리세스될 수 있고, 모두 제거되어 하부의 층간 절연막들(102)이 노출되는 천공이 발생할 수도 있다. 본 실시예에 의하면, 패드들(120)의 두께가 게이트 전극들(110)보다 두꺼운 구조이므로 상술한 문제점을 해결하고, 식각 공정의 마진을 충분히 확보할 수 있다.
이후, 도 3을 다시 참조하면, 상기 방법은 콘택 플러그들(150), 제3 상부 층간 절연막(162), 제1 메탈 라인들(160), 배선 플러그들(164), 비트 라인(170), 및 제2 메탈 라인(180)을 형성하는 것을 포함할 수 있다.
상기 콘택 플러그들(150)들을 형성하는 것은 상기 콘택 홀들(150h) 내에 도전 물질, 예를 들어, 구리나 텅스텐 등을 채우는 것을 포함할 수 있다. 상기 콘택 플러그들(150)은 접지 선택 플러그(151), 셀 플러그들(152) 및 제1 및 제2 스트링 선택 플러그들(153a, 153b)을 포함할 수 있다. 상기 접지 선택 플러그(151)는 상기 층간 절연막(102), 상기 캡핑 절연막(127) 및 상기 제1 및 제2 상부 층간 절연막들(128, 147)을 관통하여 상기 접지 선택 패드(121)에 연결될 수 있다. 상기 셀 플러그(152)들은 상기 캡핑 절연막(127) 및 상기 제1 및 제2 상부 층간 절연막들(128, 147)을 관통하여 상기 셀 패드들(122)에 각각 연결될 수 있다. 상기 스트링 선택 플러그들(153a, 153b)은 상기 캡핑 절연막(127) 및 상기 제1 및 제2 상부 층간 절연막들(128, 147)을 관통하여 상기 제1 및 제2 스트링 선택 패드들(123a, 123b)에 각각 연결될 수 있다.
상기 제1 메탈라인들(160)은 상기 콘택 플러그들(150) 상에 정렬되도록 증착 공정 및 식각 공정을 수행하여 형성될 수 있다. 상기 제1 메탈라인들(160)은 상기 셀 플러그들(152) 및 상기 접지 선택 플러그(151)와 각각 연결될 수 있다.
상기 제3 상부 층간 절연막(162)을 형성하는 것은 증착 공정을 수행하여 상기 제2 상부 층간 절연막(147) 및 상기 제1 메탈 라인들(150) 상에 실리콘 산화물 같은 절연물을 형성하는 것을 포함할 수 있다.
상기 배선 플러그들(164)은 상기 셀 영역(20) 내에서 상기 제2 상부 층간 절연막(147) 및 제3 상부 층간 절연막(162)을 수직으로 관통하여 상기 수직 채널 구조들(130)과 상기 비트 라인(170)을 전기적으로 연결할 수 있다. 상기 배선 플러그들(164)은 상기 연결 영역(30) 내에서 상기 제3 상부 층간 절연막(162)을 수직으로 관통하여 상기 제1 및 제2 스트링 선택 플러그들(153a, 153b)과 상기 제2 메탈 라인(180)을 전기적으로 연결할 수 있다. 상기 배선 플러그들(164)은 도전 물질, 예를 들어, 구리 또는 텅스텐 등을 포함할 수 있다.
상기 비트 라인(170) 및 상기 제2 메탈 라인(180)은 상기 제3 상부 층간 절연막(162) 상에서 수평으로 연장할 수 있다. 상기 비트 라인(170) 및 상기 제2 메탈라인(180)은 물질적으로 연속하도록 동일 공정에서 동일한 물질을 포함하도록 형성될 수 있다.
상술된 반도체 소자의 제조 방법에서, 상기 게이트 전극들(110)에서 연장된 상기 패드들(120)의 두께를 게이트 전극들(110)보다 두껍게 형성함으로써, 깊이가 다른 콘택 홀들(150h)을 형성할 경우, 상기 패드들(120)이 과도 식각되어 천공되는 것을 방지할 수 있다. 이로 인하여, 식각 마진을 충분히 확보할 수 있어, 우수한 신뢰성을 갖는 반도체 소자를 구현할 수 있다.
도 7는 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자들을 포함하는 전자시스템들의 일 예를 간략히 도시한 블록도이다. 도 7를 참조하면, 본 발명의 일 실시예에 따른 전자 시스템(1100)은 컨트롤러(1110), 입출력 장치(1120, I/O), 기억 장치(1130, memory device), 인터페이스(1140) 및 버스(1150, bus)를 포함할 수 있다. 상기 컨트롤러(1110), 입출력 장치(1120), 기억 장치(1130) 및 인터페이스(1140) 중에서 적어도 2개는 상기 버스(1150)를 통하여 서로 결합 될 수 있다. 상기 버스(1150)는 데이터들이 이동되는 통로(path)에 해당한다.
상기 컨트롤러(1110)는 마이크로프로세서, 디지털 신호 프로세스, 마이크로컨트롤러, 및 이들과 유사한 기능을 수행할 수 있는 논리 소자들 중에서 적어도 하나를 포함할 수 있다. 상기 입출력 장치(1120)는 키패드(keypad), 키보드 및 디스플레이 장치 등을 포함할 수 있다. 상기 기억 장치(1130)는 데이터 및 명령어 등을 저장할 수 있다. 상기 기억 장치(1130)는 상술된 실시예들에 개시된 반도체 소자들 중에서 적어도 하나를 포함할 수 있다. 또한, 상기 기억 장치(1130)는 상변화 기억 소자, 자기 기억 소자, 디램 소자 및 에스램 소자 중에서 적어도 하나를 더 포함할 수 있다. 상기 인터페이스(1140)는 통신 네트워크로 데이터를 전송하거나 통신 네트워크로부터 데이터를 수신하는 기능을 수행할 수 있다. 상기 인터페이스(1140)는 유선 또는 무선 형태일 수 있다. 예컨대, 상기 인터페이스(1140)는 안테나 또는 유무선 트랜시버등을 포함할 수 있다. 도시하지 않았지만, 상기 전자 시스템(1100)은 상기 컨트롤러(1110)의 동작을 향상시키기 위한 동작 기억 소자로서, 고속의 디램 소자 및 고속의 에스램 소자 중에서 적어도 하나를 더 포함할 수도 있다.
상기 전자 시스템(1100)은 개인 휴대용 정보 단말기(PDA, personal digital assistant) 포터블 컴퓨터(portable computer), 웹 타블렛(web tablet), 무선 전화기(wireless phone), 모바일 폰(mobile phone), 디지털 뮤직 플레이어(digital music player), 메모리 카드(memory card), 또는 정보를 무선환경에서 송신 및 수신할 수 있는 모든 전자 제품에 적용될 수 있다.
도 8은 본 발명의 기술적 사상의 일 실시예에 따른 반도체 소자들을 포함하는 메모리 카드들의 일 예를 간략히 도시한 블록도이다.
도 8을 참조하면, 본 발명의 일 실시예에 따른 메모리 카드(1200)는 기억 장치(1210)를 포함한다. 상기 기억 장치(1210)는 상술된 실시예들에 따른 반도체 소자들 중에서 적어도 하나를 포함할 수 있다. 또한, 상기 기억 장치(1210)는 상변화 기억소자, 자기 기억 소자, 디램 소자 및 에스램 소자 중에서 적어도 하나를 더 포함할 수 있다. 상기 메모리 카드(1200)는 호스트(Host)와 상기 기억 장치(1210) 간의 데이터 교환을 제어하는 메모리 컨트롤러(1220)를 포함할 수 있다.
상기 메모리 컨트롤러(1220)는 메모리 카드의 전반적인 동작을 제어하는 프로세싱 유닛(1222)을 포함할 수 있다. 또한, 상기 메모리 컨트롤러(1220)는 상기 프로세싱 유닛(1222)의 동작 메모리로써 사용되는 에스램(1221, SRAM)을 포함할 수 있다. 이에 더하여, 상기 메모리 컨트롤러(1220)는 호스트 인터페이스(1223), 메모리 인터페이스(1225)를 더 포함할 수 있다. 상기 호스트 인터페이스(1223)는 메모리 카드(1200)와 호스트(Host)간의 데이터 교환 프로토콜을 구비할 수 있다. 상기 메모리 인터페이스(1225)는 상기 메모리 컨트롤러(1220)와 상기 기억 장치(1210)를 접속시킬 수 있다. 더 나아가서, 상기 메모리 컨트롤러(1220)는 에러 정정 블록(1224, Ecc)를 더 포함할 수 있다. 상기 에러 정정 블록(1224)은 상기 기억 장치(1210)로부터 독출된 데이터의 에러를 검출 및 정정할 수 있다. 도시하지 않았지만, 상기 메모리 카드(1200)는 호스트(Host)와의 인터페이싱을 위한 코드 데이터를 저장하는 롬 장치(ROM device)를 더 포함할 수도 있다. 상기 메모리 카드(1200)는 휴대용 데이터 저장 카드로 사용될 수 있다. 이와는 달리, 상기 메모리 카드(1200)는 컴퓨터 시스템의 하드 디스크를 대체할 수 있는 SSD(Solid State Disk)로도 구현될 수 있다. 이상, 첨부된 도면들을 참조하여 본 발명의 실시예들을 설명하였지만, 본 발명이 속하는 기술 분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예들에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다. 따라서, 본 발명의 범위는 첨부되는 청구범위들 및 그 등가물로부터 허용 가능한 해석의 가장 넓은 범위로 결정되어야 한다.
10: 셀 어레이
20: 셀 영역 30: 연결 영역
100: 기판 101: 버퍼 절연막
102: 층간 절연막 103: 희생막
104: 연마 저지막 110: 게이트 전극
120: 패드 125: 희생 절연막
125a: 희생 절연막 패턴 128: 제1 상부 층간 절연막
126: 리세스 127: 캡핑 절연막
129: 채널 홀 129a: 더미 홀
130: 수직 채널 구조 136: 트렌치
138: 갭 영역 139: 도전막
140: 공통 소스 구조 147: 제2 상부 층간 절연막
149: 포토레지스트 패턴 150: 콘택 플러그
150h: 콘택 홀 162: 제3 상부 층간 절연막
160: 제1 메탈 라인 164: 배선 플러그
170: 비트 라인 180: 제2 메탈 라인

Claims (10)

  1. 기판 상에 적층된 게이트 전극들;
    상기 게이트 전극들을 수직으로 관통하여 상기 기판과 전기적으로 연결된 수직 채널 구조들;
    상기 게이트 전극들로부터 수평으로 연장하는 도전 패드들로서, 상기 도전 패드들은 접지 선택 패드와, 상기 접지 선택 패드 위에 배치된 복수의 셀 패드들을 포함하는, 상기 도전 패드들; 및
    상기 도전 패드들에 연결되는 콘택 플러그들로서, 상기 접지 선택 패드에 전기적으로 연결되는 접지 선택 플러그와, 상기 복수의 셀 패드들에 각각 전기적으로 연결되는 복수의 셀 플러그들을 포함하는, 상기 콘택 플러그들을 포함하고,
    상기 도전 패드들 각각은, 상기 콘택 플러그들 아래에 배치되는 콘택 영역과, 상기 콘택 영역과 상기 게이트 전극들 사이에 배치되는 연장 영역을 포함하고,
    상기 복수의 셀 패드들의 상기 콘택 영역들은 상기 복수의 셀 패드들의 상기 연장 영역들보다 두꺼운 영역을 가지며,
    상기 접지 선택 패드의 상기 콘택 영역은 상기 접지 선택 패드의 상기 연장 영역과 동일한 두께를 갖는 것을 특징으로 하는 반도체 소자.
  2. 제1항에 있어서,
    상기 게이트 전극들은 접지 선택 게이트 전극과, 상기 접지 선택 게이트 전극 상에 배치되는 복수의 셀 게이트 전극들을 포함하고,
    상기 접지 선택 게이트 전극은 상기 접지 선택 패드의 상기 콘택 영역과 동일한 두께를 갖는 것을 특징으로 하는 반도체 소자.
  3. 제2항에 있어서,
    상기 복수의 셀 게이트 전극들 각각은 상기 복수의 셀 패드들의 연장 영역의 두께와 동일하고,
    상기 복수의 셀 게이트 전극들 각각은 상기 복수의 셀 패드들의 상기 콘택 영역들보다 더 작은 두께를 갖는 것을 특징으로 하는 반도체 소자.
  4. 제2항에 있어서,
    상기 도전 패드들의 상면 및 측면 상에 배치되는 캡핑 절연막을 더 포함하고,
    상기 콘택 플러그들이 상기 캡핑 절연막을 관통하여 상기 도전 패드들에 연결되는 것을 특징으로 하는 반도체 소자.
  5. 제4항에 있어서,
    상기 연장 영역은 상기 콘택 영역에 인접하여 형성된 리세스를 포함하고,
    상기 리세스를 상기 캡핑 절연막이 채우는 것을 특징으로 하는 반도체 소자.
  6. 제1항에 있어서,
    상기 콘택 영역은 베이스 부 및 상기 베이스 부로부터 돌출한 돌출부를 포함하고,
    상기 베이스 부의 외측면은 상기 돌출부의 외측면 보다 수평으로 돌출하는 반도체 소자.
  7. 제6항에 있어서,
    상기 패드들의 하부의 층간 절연막들을 더 포함하고,
    상기 베이스 부의 상기 외측면은 상기 하부의 상기 층간 절연막의 외측면과 수직으로 정렬하는 반도체 소자.
  8. 제6항에 있어서,
    상기 돌출부의 외측면과 상기 베이스 부의 외측면이 수직으로 정렬되는 반도체 소자.
  9. 제8항에 있어서,
    상기 베이스 부의 외측면이 역(reverse) 경사(tapered)되며, 상기 돌출부의 외측면과 상기 베이스 부의 외측면이 스므드하게(smoothly) 연속하는 반도체 소자.
  10. 셀 영역 및 연결 영역을 포함하는 기판 상에 층간 절연막들 및 희생막들을 교대로 적층하고;
    상기 연결 영역 내 상기 층간 절연막들 및 희생막들을 패터닝하여 상기 희생막들이 노출된 계단식 구조를 형성하고;
    상기 셀 영역 및 연결 영역의 전면 상에 희생 절연막을 형성하고;
    상기 희생 절연막을 부분 식각하여 상기 계단식 구조에 노출된 희생막들 상에 희생 절연막 패턴들을 형성하고;
    상기 셀 영역 내에 수직 채널 구조들을 형성하고, 동시에, 상기 연결 영역내에 더미 필라들을 형성하고;
    상기 층간 절연막들 및 상기 희생막들을 연속적으로 패터닝하여 트렌치들을 형성하고;
    상기 셀 영역 및 연결 영역 내의 상기 희생막들 및 희생 절연막 패턴들을 제거하여 갭 영역들을 형성하고; 및
    상기 갭 영역들에 도전막을 채워 적층된 게이트 전극들 및 패드들을 형성하되, 상기 패드들은 상기 게이트 전극들보다 두꺼운 영역을 갖는 반도체 소자의 제조 방법.
KR1020140041916A 2014-04-08 2014-04-08 반도체 소자 및 그 제조 방법 KR102094470B1 (ko)

Priority Applications (5)

Application Number Priority Date Filing Date Title
KR1020140041916A KR102094470B1 (ko) 2014-04-08 2014-04-08 반도체 소자 및 그 제조 방법
US14/542,709 US9343452B2 (en) 2014-04-08 2014-11-17 Semiconductor devices having conductive pads and methods of fabricating the same
DE102015101205.7A DE102015101205B4 (de) 2014-04-08 2015-01-28 Halbleitervorrichtungen mit leitfähigen Kontaktstellen
CN201810775945.9A CN108962911B (zh) 2014-04-08 2015-02-12 半导体器件
CN201510075819.9A CN104979313B (zh) 2014-04-08 2015-02-12 具有导电衬垫的半导体器件及三维半导体器件

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020140041916A KR102094470B1 (ko) 2014-04-08 2014-04-08 반도체 소자 및 그 제조 방법

Publications (2)

Publication Number Publication Date
KR20150116681A KR20150116681A (ko) 2015-10-16
KR102094470B1 true KR102094470B1 (ko) 2020-03-27

Family

ID=54146523

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140041916A KR102094470B1 (ko) 2014-04-08 2014-04-08 반도체 소자 및 그 제조 방법

Country Status (4)

Country Link
US (1) US9343452B2 (ko)
KR (1) KR102094470B1 (ko)
CN (2) CN104979313B (ko)
DE (1) DE102015101205B4 (ko)

Families Citing this family (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
KR102154093B1 (ko) * 2014-02-14 2020-09-10 삼성전자주식회사 3차원 반도체 소자
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
KR102192848B1 (ko) * 2014-05-26 2020-12-21 삼성전자주식회사 메모리 장치
KR20150139255A (ko) * 2014-06-03 2015-12-11 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9437543B2 (en) * 2015-01-22 2016-09-06 Sandisk Technologies Llc Composite contact via structure containing an upper portion which fills a cavity within a lower portion
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102334914B1 (ko) 2015-04-01 2021-12-07 삼성전자주식회사 3차원 반도체 소자
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9935124B2 (en) * 2015-11-25 2018-04-03 Sandisk Technologies Llc Split memory cells with unsplit select gates in a three-dimensional memory device
KR102565716B1 (ko) * 2015-12-24 2023-08-11 삼성전자주식회사 메모리 장치
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
KR102497116B1 (ko) * 2015-12-30 2023-02-07 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US9595535B1 (en) * 2016-02-18 2017-03-14 Sandisk Technologies Llc Integration of word line switches with word line contact via structures
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9768233B1 (en) * 2016-03-01 2017-09-19 Toshiba Memory Corporation Semiconductor device and method of manufacturing the same
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
KR20170121785A (ko) * 2016-04-25 2017-11-03 삼성전자주식회사 3차원 반도체 장치
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102619876B1 (ko) 2016-07-19 2024-01-03 삼성전자주식회사 메모리 장치
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR20180019807A (ko) * 2016-08-16 2018-02-27 삼성전자주식회사 반도체 소자
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10861870B2 (en) * 2016-09-29 2020-12-08 Intel Corporation Inverted staircase contact for density improvement to 3D stacked devices
KR102607595B1 (ko) 2016-10-13 2023-11-30 삼성전자주식회사 유전체 층을 포함하는 반도체 소자
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) * 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180066650A (ko) * 2016-12-09 2018-06-19 삼성전자주식회사 반도체 소자
KR20180066745A (ko) * 2016-12-09 2018-06-19 삼성전자주식회사 반도체 메모리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
KR102342552B1 (ko) 2017-03-09 2021-12-23 삼성전자주식회사 3차원 반도체 소자 및 그 형성방법
KR20180107905A (ko) 2017-03-23 2018-10-04 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) * 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
KR102369654B1 (ko) * 2017-06-21 2022-03-03 삼성전자주식회사 반도체 장치
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR102424875B1 (ko) 2017-07-03 2022-07-26 삼성전자주식회사 반도체 소자
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR102397903B1 (ko) 2017-07-17 2022-05-13 삼성전자주식회사 게이트들을 포함하는 반도체 소자
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
KR102378431B1 (ko) * 2017-07-25 2022-03-25 삼성전자주식회사 반도체 장치
KR102423766B1 (ko) * 2017-07-26 2022-07-21 삼성전자주식회사 3차원 반도체 소자
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
KR102428273B1 (ko) * 2017-08-01 2022-08-02 삼성전자주식회사 3차원 반도체 소자
WO2019028136A1 (en) * 2017-08-04 2019-02-07 Lam Research Corporation SELECTIVE DEPOSITION OF SILICON NITRIDE ON HORIZONTAL SURFACES
CN111033699B (zh) * 2017-08-04 2023-10-13 微材料有限责任公司 改良的金属接触定位结构
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
CN107644876B (zh) * 2017-08-28 2019-01-01 长江存储科技有限责任公司 台阶结构及其形成方法
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102385566B1 (ko) * 2017-08-30 2022-04-12 삼성전자주식회사 수직형 메모리 장치
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102443029B1 (ko) * 2017-09-04 2022-09-14 삼성전자주식회사 절연성 캐핑 구조물을 포함하는 반도체 소자
KR102414294B1 (ko) * 2017-09-08 2022-06-28 삼성전자주식회사 비휘발성 메모리 장치 및 그 제조 방법
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102401178B1 (ko) * 2017-11-03 2022-05-24 삼성전자주식회사 3차원 반도체 소자
CN111149206B (zh) * 2017-11-15 2023-08-18 桑迪士克科技有限责任公司 在平台区中具有加厚字线的三维存储器器件及其制造方法
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
CN107742605A (zh) * 2017-11-23 2018-02-27 长江存储科技有限责任公司 一种防止台阶接触孔刻蚀穿通的方法及结构
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US10580783B2 (en) 2018-03-01 2020-03-03 Sandisk Technologies Llc Multi-tier three-dimensional memory device containing differential etch rate field oxides and method of making the same
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
TW201944581A (zh) * 2018-03-02 2019-11-16 日商東京威力科創股份有限公司 非揮發性記憶裝置之製造方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102565714B1 (ko) * 2018-03-28 2023-08-10 삼성전자주식회사 적층 구조체를 갖는 반도체 소자
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102624625B1 (ko) * 2018-04-20 2024-01-12 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
KR102624519B1 (ko) * 2018-04-25 2024-01-12 삼성전자주식회사 수직형 메모리
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102618492B1 (ko) 2018-05-18 2023-12-28 삼성전자주식회사 3차원 반도체 소자
KR102466008B1 (ko) 2018-05-23 2022-11-10 삼성전자주식회사 수직형 반도체 소자 및 이의 제조 방법
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
CN108493192B (zh) * 2018-06-04 2024-04-02 长江存储科技有限责任公司 三维存储器及其制造方法
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
CN108899322A (zh) * 2018-07-04 2018-11-27 长江存储科技有限责任公司 三维存储器件及在其阶梯区形成接触孔的方法
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
KR20200015219A (ko) * 2018-08-03 2020-02-12 삼성전자주식회사 수직형 메모리 장치 및 그 제조 방법
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
KR102624633B1 (ko) * 2018-08-09 2024-01-12 삼성전자주식회사 수직형 메모리 장치
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102507288B1 (ko) * 2018-09-13 2023-03-08 삼성전자주식회사 패드 영역을 갖는 게이트 패턴을 포함하는 반도체 소자
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN108831886A (zh) * 2018-09-21 2018-11-16 长江存储科技有限责任公司 三维存储器
KR102460070B1 (ko) * 2018-09-21 2022-10-31 삼성전자주식회사 수직형 메모리 장치
CN109065547B (zh) * 2018-09-21 2020-11-03 长江存储科技有限责任公司 三维存储器的制作方法
KR102633107B1 (ko) 2018-09-21 2024-02-05 에스케이하이닉스 주식회사 수직형 메모리 장치 및 그 제조 방법
CN109390345B (zh) * 2018-09-27 2021-02-12 长江存储科技有限责任公司 制造3d存储器件的方法
KR102541001B1 (ko) * 2018-09-28 2023-06-07 삼성전자주식회사 수직형 메모리 장치
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
KR102546653B1 (ko) * 2018-12-11 2023-06-22 삼성전자주식회사 콘택 플러그를 갖는 반도체 소자
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
KR102648581B1 (ko) * 2019-01-16 2024-03-18 에스케이하이닉스 주식회사 반도체 메모리 장치
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US10937801B2 (en) * 2019-03-22 2021-03-02 Sandisk Technologies Llc Three-dimensional memory device containing a polygonal lattice of support pillar structures and contact via structures and methods of manufacturing the same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
KR20210039183A (ko) * 2019-10-01 2021-04-09 에스케이하이닉스 주식회사 반도체 메모리 장치 및 이의 제조 방법
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
US11315877B2 (en) * 2020-03-12 2022-04-26 Micron Technology, Inc. Methods of forming microelectronic devices, and related microelectronic devices, memory devices, and electronic systems
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN113097215B (zh) * 2020-06-11 2021-12-07 长江存储科技有限责任公司 三维存储器结构及其制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
CN111952319A (zh) * 2020-08-21 2020-11-17 长江存储科技有限责任公司 一种3d nand存储器件及其制造方法
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
CN112366177B (zh) * 2020-11-10 2022-11-29 长江存储科技有限责任公司 半导体器件及其形成方法
US11521898B2 (en) * 2020-11-12 2022-12-06 Macronix Iniernational Co., Ltd. Three-dimensional NAND flash memory device and method of fabricating the same
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
KR20220113048A (ko) * 2021-02-05 2022-08-12 에스케이하이닉스 주식회사 반도체 메모리 장치 및 그 제조 방법
US11688688B2 (en) * 2021-03-16 2023-06-27 Macronix International Co., Ltd. Memory device including a landing pad with increased thickness of a conductive film in the landing area
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20230064713A1 (en) * 2021-08-25 2023-03-02 Sandisk Technologies Llc Three-dimensional memory device with staircase etch stop structures and methods for forming the same
WO2023027786A1 (en) * 2021-08-25 2023-03-02 Sandisk Technologies Llc Three-dimensional memory device with staircase etch stop structures and methods for forming the same
CN113950742A (zh) * 2021-08-30 2022-01-18 长江存储科技有限责任公司 三维nand存储器器件及其形成方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110001063A (ko) * 2009-06-29 2011-01-06 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
JP5306080B2 (ja) 2009-07-01 2013-10-02 株式会社東芝 不揮発性半導体記憶装置及びその製造方法
JP2011035237A (ja) 2009-08-04 2011-02-17 Toshiba Corp 半導体装置の製造方法及び半導体装置
KR101624975B1 (ko) 2009-11-17 2016-05-30 삼성전자주식회사 3차원 반도체 기억 소자
KR101559958B1 (ko) * 2009-12-18 2015-10-13 삼성전자주식회사 3차원 반도체 장치의 제조 방법 및 이에 따라 제조된 3차원 반도체 장치
KR101624978B1 (ko) * 2010-05-18 2016-05-30 삼성전자주식회사 반도체 소자 및 그 제조 방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
KR101194890B1 (ko) * 2011-02-22 2012-10-25 에스케이하이닉스 주식회사 반도체 소자 및 그 형성방법
KR20130005432A (ko) * 2011-07-06 2013-01-16 에스케이하이닉스 주식회사 3차원 구조의 비휘발성 메모리 소자 제조 방법
KR101843580B1 (ko) * 2011-08-16 2018-03-30 에스케이하이닉스 주식회사 3차원 구조의 비휘발성 메모리 소자 및 그 제조 방법
KR101884002B1 (ko) 2012-04-13 2018-08-01 삼성전자주식회사 콘택 구조물 형성 방법
US8828884B2 (en) * 2012-05-23 2014-09-09 Sandisk Technologies Inc. Multi-level contact to a 3D memory array and method of making
KR20130141876A (ko) * 2012-06-18 2013-12-27 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법
KR20140089793A (ko) * 2013-01-07 2014-07-16 에스케이하이닉스 주식회사 반도체 장치 및 그 제조 방법

Also Published As

Publication number Publication date
DE102015101205A1 (de) 2015-10-08
US20150287710A1 (en) 2015-10-08
DE102015101205B4 (de) 2022-02-03
US9343452B2 (en) 2016-05-17
CN104979313B (zh) 2018-08-07
CN108962911B (zh) 2023-07-04
CN104979313A (zh) 2015-10-14
KR20150116681A (ko) 2015-10-16
CN108962911A (zh) 2018-12-07

Similar Documents

Publication Publication Date Title
KR102094470B1 (ko) 반도체 소자 및 그 제조 방법
KR102118159B1 (ko) 반도체 소자 및 그 제조 방법
KR102239602B1 (ko) 반도체 장치 및 그 제조 방법
US10418374B2 (en) Vertical memory devices
KR102634947B1 (ko) 수직형 메모리 장치 및 그 제조 방법
EP3420595B1 (en) Within-array through-memory-level via structures
US20160293625A1 (en) Three Dimensional Semiconductor Memory Devices and Methods of Fabricating the Same
US9076879B2 (en) Three-dimensional semiconductor memory device and method for fabricating the same
KR101857681B1 (ko) 3차원 반도체 기억 소자 및 그 제조방법
KR102001417B1 (ko) 반도체 장치
US9653565B2 (en) Semiconductor devices and methods of fabricating the same
KR101763420B1 (ko) 3차원 반도체 기억 소자 및 그 제조 방법
KR102523139B1 (ko) 반도체 메모리 소자
KR20160116882A (ko) 반도체 장치 및 반도체 장치의 제조 방법
KR20160118114A (ko) 반도체 메모리 장치 및 이의 제조 방법
KR20120047325A (ko) 3차원 반도체 장치 및 그 제조 방법
KR20130110732A (ko) 반도체 장치 및 이의 제조 방법
KR20110136351A (ko) 수직형 반도체 소자 및 그 제조 방법
KR20140095657A (ko) 반도체 소자 및 그 제조 방법
US11929324B2 (en) Semiconductor devices having improved electrical characteristics and methods of fabricating the same
KR20090126588A (ko) 반도체 소자 및 그 제조방법
KR100541049B1 (ko) 디램 셀들을 갖는 반도체 장치 및 그 제조방법
KR20130104200A (ko) 반도체 장치 및 그 제조 방법
KR20200040351A (ko) 3차원 반도체 메모리 소자
KR100843714B1 (ko) 콘택 구조체 형성 방법 및 이를 이용한 반도체소자의제조방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant