WO2002012587A2 - Processing apparatus and cleaning method - Google Patents

Processing apparatus and cleaning method Download PDF

Info

Publication number
WO2002012587A2
WO2002012587A2 PCT/JP2001/006784 JP0106784W WO0212587A2 WO 2002012587 A2 WO2002012587 A2 WO 2002012587A2 JP 0106784 W JP0106784 W JP 0106784W WO 0212587 A2 WO0212587 A2 WO 0212587A2
Authority
WO
WIPO (PCT)
Prior art keywords
chamber
gas
cleaning
processing apparatus
plasma
Prior art date
Application number
PCT/JP2001/006784
Other languages
French (fr)
Other versions
WO2002012587A3 (en
Inventor
Shinsuke Oka
Osamu Yokoyama
Risa Nakase
Shuuichi Ishizuka
Original Assignee
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited filed Critical Tokyo Electron Limited
Priority to US10/344,042 priority Critical patent/US20040065344A1/en
Priority to KR1020037001839A priority patent/KR100554643B1/en
Publication of WO2002012587A2 publication Critical patent/WO2002012587A2/en
Publication of WO2002012587A3 publication Critical patent/WO2002012587A3/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Definitions

  • the present invention relates to a processing apparatus and a cleaning method in which an efficient cleaning is possible.
  • CVD Chemical Vapor Deposition
  • LCD Liquid Crystal Display
  • Plasma CVD apparatuses are widely used for forming high quality films.
  • the plasma CVD apparatus forms a film on a semiconductor wafer contained inside a decompressed chamber, using a CVD method.
  • the CVD method employs a gas phase reaction.
  • films are formed only on the surface of the wafers, but on the surface (internal wall, etc.) of a chamber member.
  • formed films cause particles to be generated, thereby lowering the yield of the products. In such circumstances, it is necessary to regularly clean the inside of the chamber, to remove the films formed on the chamber member.
  • a well-known method for cleaning the inside of the chamber is an in-situ plasma cleaning method, wherein a cleaning gas is introduced into the chamber, and plasma is generated from a gas inside the chamber.
  • a cleaning gas is introduced into the chamber, and plasma is generated from a gas inside the chamber.
  • plasma is generated inside the chamber, so that the chamber member is likely to be deteriorated.
  • a remote plasma cleaning method has been proposed.
  • plasma of a cleaning gas is generated outside the chamber, and the generated plasma is introduced into the chamber so as to clean the inside of the chamber.
  • the chamber member is unlikely to be deteriorated.
  • Such a remote plasma cleaning method is disclosed in Unexamined Japanese Patent Application KOKAI Publication No. H9-69504 (U.S. Priority No. 08/278605).
  • a problem in the remote plasma cleaning method is that it requires a relatively long period of time for the cleaning.
  • the plasma gas is introduced from one or two point(s) into the chamber, so that the inside of the chamber is not evenly cleaned.
  • it takes a long time for cleaning entirely the inside of the chamber resulting that a part of the chamber member is deteriorated due to the excessive cleaning.
  • a processing apparatus comprising: a chamber (11); a gas source (SA) for supplying a gas for cleaning inside of said chamber (11); a gas line (LI) for introducing the gas supplied from said gas source (SA) into said chamber (11); an activator (27) which is prepared in said gas line (LI) and activates the gas supplied from said gas source (SA); and at least three gas inlets (28) which are provided at a side wall of said chamber (11) and connected to said gas line (LI).
  • SA gas source
  • LI gas line
  • FIG. 1 is a diagram showing the structure of a processing apparatus according to the first embodiment of the present invention.
  • FIG. 2 is a diagram showing cleaning results obtained using the processing apparatus of FIG. 1.
  • FIG. 3 is a diagram showing cleaning results obtained using the processing apparatus of FIG. 1.
  • FIG. 4 is a diagram showing the structure of a processing apparatus according to the second embodiment of the present invention.
  • FIG. 5 is a cross sectional view showing the processing apparatus of FIG. 4.
  • FIG. 6 is a diagram showing cleaning results obtained using the processing apparatus of FIG. 4.
  • FIG. 7 is a diagram showing cleaning results obtained using the processing apparatus of FIG. 4.
  • FIG. 8 is a diagram showing the structure of a processing apparatus according to the third embodiment of the present invention.
  • FIG. 9 is a diagram showing cleaning results obtained using the processing apparatus of FIG. 8.
  • FIG. 10 is a diagram showing a processing apparatus as a comparative example.
  • FIG. 11 is a diagram showing a lid member included in a processing apparatus according to the fourth embodiment.
  • FIG. 12 is a diagram showing cleaning results obtained using the processing apparatus according to the fourth embodiment.
  • FIG. 13 is a diagram showing a modification of the lid member included in the processing apparatus according to the fourth embodiment.
  • FIG. 14 is a diagram showing further cleaning results obtained using the processing apparatus of the fourth embodiment.
  • FIG. 15 is a diagram showing the lid member as a comparative example as a comparative example.
  • FIG. 16 is a diagram showing the structure of a processing apparatus according to the fifth embodiment.
  • FIG. 17 is a diagram showing cleaning results obtained using the processing apparatus of the fifth embodiment.
  • FIG. 18 is a diagram showing another structure of the processing apparatus of the fifth embodiment.
  • FIG. 19 is a diagram showing another structure of the processing apparatus of the fifth embodiment.
  • the processing apparatus includes a chamber.
  • SiOF films are formed respectively on semiconductor wafers (hereinafter referred to as a wafer W) using a plasma CVD method, with a process gas containing SiH , SiF 4 and O 2 .
  • the SiOF film remaining in the chamber after the formation is removed therefrom, using a cleaning gas containing NF 3 .
  • FIG. 1 shows a cross sectional view of a processing apparatus 10 according to the first embodiment of the present invention.
  • the processing apparatus 10 comprises a chamber 11, a cleaning gas line LI, a process gas line L2, an exhaust line L3, and a system controller 100.
  • the cleaning gas line LI connects the chamber 11 to an NF 3 source SA, serving as a cleaning gas source, and also to an Ar source SB, serving as a carrier gas source.
  • the NF 3 source SA and Ar source SB are connected to the cleaning gas line LI, respectively through mass-flow controllers MA and MB, and also through valves VA and VB.
  • NF 3 and Ar are, connected on vent parts of the valves VA and VB so as to be formed into a single line.
  • NF 3 and Ar are mixed at a predetermined ratio by the controllers MA and MB and valves VA and VB, and supplied to the chamber 11.
  • the process gas line L2 connects chamber 11 to an SiF 4 source SC, an SiH source SD, an O 2 source SE, and to an Ar source SF.
  • the SiF source SC, SiH 4 source SD, O 2 source SE, and Ar source SF are connected to the process gas line L2 respectively through mass-flow controllers MC, MD, ME, and MF, and also through valves VC, VD, VE, and VF. Those lines for connecting the SiF source
  • VD VD, VE, and VF, and supplied to the chamber 11.
  • the chamber 11 is a reactive chamber which can be decompressed into a vacuum state.
  • the chamber 11 is formed approximately in a cylindrical shape, made of aluminum, etc., and is grounded.
  • a gate for carrying in and out wafers W to and from the chamber 11 through a gate valve.
  • a susceptor 12 is provided in the middle of the chamber 11.
  • the susceptor 12 made from a conductor such as aluminum, for example, and formed almost in a cylindrical shape.
  • Mounted on the upper surface of the susceptor 12 is a wafer W and an electrostatic chuck which electrostatically absorbs the wafer W so as to fix the wafer W thereonto.
  • a focus ring 13 is provided on the upper surface of the susceptor 12. In this structure, plasma can effectively contact the wafer W mounted on the susceptor 12.
  • a lift pin which can go up and down for receiving and providing the wafer.
  • a chiller room 14 is provided in the susceptor 12.
  • a chiller flows into each of the chiller room 14 through a pipe.
  • the temperature of the susceptor 12 and wafer W on the susceptor 12 is adjusted by the chiller.
  • a chiller means a temperature controlling medium herein.
  • the susceptor 12 is connected to the first RF power source 16 through the first matching box 15. One end of the first RF power source 16 is grounded, so that an RF voltage can be applied to the susceptor 12.
  • An electrode plate 17 is tightened up to an electrode supporter 18 at the ceiling of the chamber 11.
  • the electrode plate 17 faces and is parallel to the susceptor 12.
  • the electrode plate 17 is formed from a conductor such as aluminum.
  • a Shield ring 19, for protecting the sections of the electrode plate 17 which are fixed to the electrode supporter 18, is provided beneath the peripheral of the electrode plate 17.
  • the electrode plate 17 is connected to the second RF power source 21 through the second matching box 20. One end of the second RF power source 21 is grounded, so that an RF voltage is applied to the electrode plate 17. Accordingly, the electrode plate 17 and susceptor 12 function respectively as an upper electrode and lower electrode of a parallel-plate-type plasma CVD apparatus.
  • a cleaning-gas inlet pipe 22 and a process-gas inlet pipe 23 are provided on the upper section of the chamber 11.
  • the cleaning-gas inlet pipe 22 is connected to the cleaning gas line LI, so that a cleaning gas is introduced into the chamber 11 through the cleaning gas inlet pipe 22.
  • the process-gas inlet pipe 23 is connected to the process gas line L2, so that a process gas is introduced into the chamber 11 through the process-gas inlet pipe 23.
  • the electrode supporter 18 includes a diffusing portion such as a hollow, for diffusing the process gas.
  • the electrode plate 17 has a plurality of holes 17a throughout the electrode plate 17. The cleaning gas and process gas which are diffused by the diffusing portion are sent to the wafer W through the holes 17a of the electrode plate 17.
  • An annular vent 24 is provided at the bottom of the chamber 11.
  • the vent 24 is connected to the exhaust line L3.
  • the exhaust line L3 is connected to a TMP (Turbo Molecular Pump) 25.
  • a dry pump is provided downstream of the TMP 25, so that the chamber 11 can be decompressed so as to be in a vacuum state.
  • An APC (Automatic Pressure Controller) 26 is provided between the TMP 25 and the chamber 11. The chamber 11 is controlled to be in a predetermined pressure level by the APC 26.
  • the system controller 11 controls the processing apparatus 10 totally, including a film formation process and a cleaning process which are carried out inside the processing apparatus 10.
  • the wafer W is carried into the chamber 11, and put on the susceptor 12.
  • the wafer W is fixed thereon by the electrostatic chuck.
  • the system controller 100 opens the valve VE so as to supply O 2 , and applies an RF power to the upper electrode (the electrode plate 17).
  • the system controller 100 opens the valves VC, VD, and VF, supplies the chamber 11 with SiF 4 , SiH 4 , and Ar, and applies a voltage to the lower electrode (the susceptor 12). From this, plasma of the gas is generated, and the SiOF film formation reaction undergoes on and over the surface of the wafer W. After the SiOF film having a predetermined thickness is formed on the wafer
  • the system controller 100 stops applying an RF power to the lower electrode, and closes the valves VC, VD, and VF, so as to stop supplying the chamber 11 with SiF 4 , SiH 4 , and Ar. After this, the electrostatic chuck is released. The system controller 100 closes the valve VE so as to stop supplying O 2 and applying an RF power to the upper electrode. Then, the wafer W is carried out from the chamber 11, and hence completing the film formation process.
  • the system controller 100 After the above-described film formation process is done for a predetermined number of wafers, the system controller 100 starts cleaning the chamber 11. A dummy wafer W for cleaning is carried into the chamber 11, and put on the susceptor 12. The dummy wafer W put on the susceptor 12 is fixed by the electrostatic chuck. Then, the system controller 100 opens the valves VA and VB, and supplies the chamber 11 with NF 3 and Ar.
  • the pressure inside the chamber 11 is set to 13Pa by the APC 26.
  • the system controller 100 start applying an RF power to the upper and lower electrodes, so as to begin the cleaning.
  • applied to the upper electrode is an RF power of approximately 1500W
  • applied to the lower electrode is an RF power of approximately 500W.
  • plasma of the gas especially containing fluorine radical is generated.
  • An NF 3 plasma (containing, mainly, fluorine radical) reacts with SiOF deposited inside the chamber 11, as explained in the following formula.
  • SiOF is decomposed by NF 3 , so as to be exhausted as a gas of, for example, SiH , etc.
  • the system controller 100 monitors a light emission of the plasma generated (for example, of oxygen) during the cleaning process, and detects the end point of the cleaning.
  • a light emission of the plasma generated for example, of oxygen
  • O 2 is generated at the same time of the decomposition of SiOF, and the amount of O 2 changes during the cleaning process. That is, the system controller 100 can detect the end point of the cleaning, by monitoring the amount of oxygen (based on an emission intensity).
  • the end point of the cleaning can be detected by any other methods, such as a method for detecting the pressure inside the chamber, etc.
  • the system controller 100 stops applying an RF power to the upper and lower electrodes. Subsequently, the system controller 100 opens the valves VE and VF, supplies the chamber 11 with O 2 and Ar, and starts applying an RF power to the upper electrode. After this, the system controller 100 stops supplying the chamber 11 with Ar and applying the RF power to the upper electrode. The system controller 100 stops supplying the chamber 11 with O 2 , and releases the electrostatic chuck. Then, the dummy wafer W is carried out from the chamber 11, thereby completing the cleaning process.
  • FIGS. 2 and 3 show cleaning results achieved after the film formation which is done using the plasma processing apparatus 10 according to the first embodiment of the present invention.
  • a SiOF film is formed to have a thickness of 5 ju m on the wafer W, within the distance 50mm between the electrodes.
  • FIG. 2 shows the relationship between the cleaning time and the applied RF power, in the case where the cleaning is performed with applying the RF power to the upper and lower electrodes using the processing apparatus of the first embodiment.
  • the processing apparatus which applies the RF power to the upper and lower electrodes can achieve the cleaning at a shorter period of time than the case where the RF power is applied only to the upper electrode.
  • the cleaning time is reduced to 76MIN and 70MIN, respectively. Accordingly, in the processing apparatus of this embodiment which performs the cleaning by applying an RF power not only to the upper electrode, but also to the lower electrode, a high cleaning rate can be obtained, and hence enabling to perform the cleaning with high efficiency.
  • the explanations have been made to the pressure inside the processing apparatus according to the first embodiment, wherein the pressure inside the chamber 11 is retained approximately at a pressure of 13Pa.
  • the present invention is not limited to the above, and the cleaning may be performed at a higher pressure than the above pressure of 13Pa.
  • FIG. 3 shows the relationship between the cleaning time and the pressure inside the chamber 11.
  • the cleaning time can be reduced in the case where the pressure is increased to a pressure of 50Pa. Accordingly, a high cleaning rate can be obtained by performing the cleaning at a moderate level of a vacuum inside the chamber 11.
  • a processing apparatus includes a chamber. Inside the chamber, a SiOF film is formed on a wafer W using a plasma CVD method, with a process gas containing SiH 4 , SiF , and O 2 . The SiOF film deposited inside the chamber after the film formation process is removed using a cleaning gas including NF 3 . The cleaning gas is activated outside the chamber so as to be used.
  • FIG. 4 shows the structure of the processing apparatus 10 according to the second embodiment of the present invention.
  • FIG. 5 is a cross sectional view of the processing apparatus 10.
  • the processing apparatus 10 of the second embodiment includes a cleaning-gas line L4 provided with an activator 27.
  • the activator 27 is connected to the cleaning gas source SA and the carrier gas source SB respectively through the valves VA and VB and also through mass flow controllers MA and MB.
  • the activator 27 has a plasma generation mechanism. This mechanism activates the gas passing through the activator 27, so as to generate plasma of the gas. Of cleaning gas plasmas, a fluorine radical which is generated from NF 3 is selectively discharged from the activator 27.
  • the branched cleaning gas lines L4 is connected to two cleaning gas inlets 28 which are provided at the side wall of the chamber 11.
  • the two cleaning gas inlets 28 face each other at the inner wall of the chamber 11.
  • the cleaning gas plasma discharged from the activator 27 is introduced into the chamber 11 through the two cleaning gas inlets 28.
  • the system controller 100 After the film is formed on a predetermined number of wafers W, the system controller 100 begins the cleaning of the chamber 11.
  • the dummy wafer W for cleaning is carried into the chamber 11, and put on the susceptor 12.
  • the dummy wafer W on the susceptor 12 is fixed by the electrostatic chuck.
  • the system controller 100 opens the valves VA and VB, and supplies the chamber 11 with NF 3 and Ar.
  • the pressure inside the chamber 11 during the cleaning is retained in a range between lOOPa and 400Pa by the APC 26.
  • the system controller 100 activates the activator 27.
  • the activator 27 activates the supplied gas therein to generate plasma of the gas, and then discharges the plasma (containing mainly fluorine radical) to the chamber 11.
  • the SiOF film remaining and adhered to the inside of the chamber 11 is decomposed to SiF 4 , etc. by the cleaning gas mainly containing the fluorine radical, so as to be discharged therefrom. Accordingly, the cleaning is thus proceeded, and the SiOF film deposited inside the chamber 11 is removed.
  • the system controller 100 determines that the cleaning has been completed based on the emission intensity of oxygen, it inactivates the activator 27. Further, the system controller 100 closes the valves VA and VB, so as to stop supplying the chamber 11 with the cleaning gas. After this, the system controller 100 opens the valves VE and VF, so as to supply O 2 and Ar into the chamber 11. Subsequently, the system controller 100 releases the electrostatic chuck, and stops supplying O 2 and Ar into the chamber 11. After this, the dummy wafer W is carried out from the chamber 11, thereby completing the cleaning process.
  • FIG. 6 shows the relationship between the time for cleaning and the pressure inside the chamber 11, and shows some results of the cleaning done after the film formation, using the processing apparatus according to the second embodiment of the present invention.
  • a SiOF film is formed to have a thickness of 5 ⁇ m on the wafer W, with the distance of 50mm between the electrodes.
  • FIG. 6 shows the results of the cleaning with a variety of pressure levels. As seen from FIG. 6, as compared to the case where the pressure inside the chamber 11 is in a high vacuum state of approximately OPa, a high cleaning rate can be obtained if the pressure is within a range between lOOPa and 400Pa. Note also that, in the case where the pressure inside the chamber 11 is approximately 200Pa, the most highest cleaning rate can be obtained. According to the second embodiment wherein the cleaning is performed at a pressure in a range between lOOPa and 400Pa inside the chamber 11, the cleaning can desirably be achieved with high efficiency.
  • Example 3 In the above-described second embodiment, an RF power may be applied to the upper electrode.
  • FIG. 7 shows the relationship between the time for cleaning and the RF power applied onto the upper electrode, in the case where the cleaning is performed after the SiOF film is formed on the wafer W in a thickness of 5 ⁇ . m.
  • an RF power of 500W is applied to the upper electrode, and the pressure inside the chamber 11 is 200Pa.
  • the cleaning is achieved at a cleaning time which is shorter than one fifth of the cleaning time in the case where the RF power is not applied thereto. Accordingly, with applying the RF power to the upper electrode to activate the cleaning gas in the chamber 11, the cleaning with a high cleaning rate is possible.
  • the cleaning may be performed, while the RF power is applied not only to the upper electrode, but also to the lower electrode.
  • a processing apparatus includes a chamber.
  • a SiOF film is formed on a wafer W using a plasma CVD method, which employs a process gas containing SiH 4 , SiF and O 2 .
  • the SiOF film remaining and adhered to inside of the chamber 11, after the film formation process, is removed using a cleaning gas containing NF 3 .
  • the cleaning gas is activated outside the chamber so as to be used.
  • the processing apparatus according to the third embodiment of the present invention has the same structure as that of the processing apparatus of the second embodiment shown in FIGS. 4 and 5.
  • FIG. 8 shows the structure of the process according to the third embodiment.
  • the same components are identified by the same reference numerals as those of FIG. 4.
  • the processing apparatus 10 of this embodiment includes three cleaning gas inlets 28 at the inner wall of the chamber 11.
  • the three cleaning gas inlets 28 are connected to the cleaning gas line L4 respectively.
  • the cleaning gas inlets 28 are provided approximately at equal intervals.
  • the cleaning gas is supplied into the chamber 11 through each of the cleaning gas inlets 28 substantially at the same supply pressure.
  • FIG. 9 shows results of film formation processes and cleaning processes done by the processing apparatus of the third embodiment, hi FIG. 9, comparisons are made to the cleaning results done by the processing apparatus of this embodiment. Specifically, the comparisons are made to one case where the cleaning gas spouts in two ways, and the other case where the cleaning gas spouts into the chamber 11 in three ways.
  • a SiOF film is formed in a thickness of 5 ⁇ . m on the wafer W with the distance of 50mm between the electrodes.
  • a plurality of chips on each of which a silicon oxide film is formed are provided respectively on a plurality of points inside the chamber 11. The thickness of the silicon oxide film of each of the chips is measured after cleaning. The cleaning rate at each of the points in the chamber 11 is calculated, based on a reduction in the measured thickness of the silicon oxide film.
  • the points for measuring the cleaning rate are identified by symbols of I to V, as illustrated in FIG. 8.
  • the cleaning rate is measured at each of the points I to V, as shown in FIG. 10.
  • the chip at the point I is put on the susceptor 12, and the rest of the chips respectively at the points II to V are put on almost the same plane as the susceptor 12.
  • the cleaning rate at the point IJ which is farthest from the cleaning gas inlets 28, is lower than the cleaning rate at any other points I, III, TV, and V.
  • the etching rate at the point II is almost equal to or larger than the etching rate at any other points I, D3, IV, and V.
  • the three cleaning gas inlets 28 are provided at equal intervals on the side wall of the chamber 11.
  • the cleaning gas inlets 28 may be provided at any other intervals.
  • the number of the cleaning gas inlets 28 is not limited to three, and more than three cleaning gas inlets may be provided.
  • a processing apparatus includes a chamber.
  • a SiOF film is formed on a wafer using a plasma CVD method, which employs a process gas containing SiH , SiF 4 , and O 2 .
  • the SiOF film remaining in and adhered to the inside of the chamber after the film formation process is removed by a cleaning gas containing NF 3 .
  • the cleaning gas is activated outside the chamber, so as to be used.
  • the processing apparatus according to the fourth embodiment of the present invention has the same structure as that of the process according to the third embodiment shown in FIGS. 5 and 8.
  • a lid member 29 shown in FIG. 11 is built on each of the three cleaning gas inlets 28.
  • the cleaning gas is introduced into the chamber 11 through the lid member 29.
  • the lid member 29 is formed in a rectangular shape, and has five slit-like openings 30. Those five openings 30 are formed in parallel 5 with each other.
  • the size of the lid member 29 is approximately the same as the section of each of the cleaning gas inlets 28.
  • the cleaning gas is supplied into the chamber 11 through the openings 30.
  • the lid member 29 is made of Al O 3 , for example.
  • FIG. 12 shows results of cleaning experiments done using the processing apparatus 10 according to the fourth embodiment of the present invention.
  • Example 4 In the film formation process, a SiOF film is formed in a thickness of
  • the opening percentage of the lid member 29 is set to 62%.
  • the cleaning experiments are done using a plurality of lid members 29 whose opening percentages are 10%, 35%, and 100%, respectively. 25 Likewise the Example 4, in the cleaning experiments, chips on each of which a silicon oxide film is formed are provided at each of the points inside the chamber
  • the thickness of the silicon oxide film is measured.
  • the cleaning rate at each of the points is obtained by calculating a reduction in the thickness of the silicon oxide film.
  • the points for measuring the cleaning rate are identified by symbols of I to V, as shown in FIG. 8. Note that the chip at the point I is put on the susceptor 12, and the rest of the chips at the points II to V are provided on the same plane as the susceptor 12.
  • the opening percentage of the lid member 29 is 5 100%, the cleaning rates respectively at the points I to V widely vary. If the opening percentage of the lid member 29 is 10% or 35%, the cleaning rates thereat are quite uniform. However, such cleaning rates, in the case of 10% or 35% of the opening percentage, are not sufficiently high.
  • the processing apparatus of the fourth embodiment using the lid member 29 whose 0 opening percentage is 62% nearly-uniform cleaning rates are highly obtained at each of the points I to V inside the chamber 11.
  • the cleaning gas can be supplied 5 into the chamber 11 with uniformity.
  • the openings 30 of the lid member 29 are formed in a slit-like shape.
  • the shape of the openings 30 is not limited to this.
  • the openings 30 may be formed in a circular shape, a polygonal shape, or any other shapes.
  • the plurality of slit-shaped openings 30 may be 0 included in parallel with each other.
  • the shape of the Ud member 29 is not limited to the rectangular shape, and the lid member 29 may be formed in a circular shape in conformity with the section of the cleaning gas inlets 28.
  • the openings 30 of the lid member 29 may be set at a variety of angles, respectively, as shown in FIG. 13.
  • the 5 cleaning gas can uniformly spout into the chamber 11.
  • FIG. 13 shows a state wherein the lid member 29 of FIG. 11 is fixed into the cleaning gas inlet 28.
  • a central opening 30a forms a path perpendicular to the main surface of the lid member 29.
  • the two openings 30b adjacent to the central opening 30a form paths at an angle of 60° with the main surface, whereas the two end openings 30c form paths at an angle of 45° therewith.
  • the cleaning gas diagonally spouts from the openings 30b and 30c. Hence, the gas spouts evenly from the cleaning gas inlet 28.
  • FIG. 14 shows results of cleaning experiments achieved using the processing apparatus 10 according to the fourth embodiment, including the lid member 29 of FIG. 13.
  • the SiOF film of 5 ⁇ m is formed on the wafer W, with the distance of 50mm between the electrodes.
  • the opening percentage of the lid member 29 is set to 35%.
  • the same cleaning experiment as the experiment of the Example 4 is performed using the lid member 29 including only the vertical openings 30a of FIG. 15.
  • the chip on which a silicon oxide film is formed is provided on each of the points inside the chamber 11, and the thickness of the silicon oxide film is measured.
  • the cleaning rate at each of the points is calculated by measuring the reduction in the thickness of the silicon oxide film.
  • the points for measuring the cleaning rate are identified by the symbols of I to V shown in FIG. 8.
  • the chip at the point I is put on the susceptor 12, and the rest of the chips at the respective points II to V are provided almost on the same plane as the susceptor 12.
  • the cleaning rate is the lowest at the point in, and the cleaning rate widely vary at each points I to V.
  • the cleaning rates are approximately the same at the respective points U to V, i.e. except at the point I (on the susceptor 12). Accordingly, with the utilization of the chamber 29 including the openings 30b and 30c forming the paths at predetermined angles (e.g. 45° , 60° ), the cleaning gas is supplied in different directions into the chamber 11, thereby enabling to evenly clean the inside of the chamber 11.
  • angles of the paths from the diagonal openings 30b and 30c are not limited to 45° and 60° , and may be 70° , 30° , etc.
  • the angles of the paths from the openings 30 may be changed. For example, if the number of the openings 30 is seven, the seven openings 30 may form paths having respectively an angle of 90° , 60° , 45° , and 30° , sequentially from the central one to both end openings.
  • a processing apparatus includes a chamber.
  • a SiOF film is on a wafer W using a plasma CVD method, which employs a process gas containing SiH 4 , SiF 4 and O 2 .
  • the SiOF film remaining and adhered to the inside of the chamber 11 is removed using a cleaning gas containing NF 3 . This cleaning gas is activated outside the chamber 11 so as to be used.
  • the processing apparatus according to the fifth embodiment of the present invention has the same structure as that of the processing apparatus of the second embodiment which is shown in FIG. 4.
  • the chamber 11 is connected to the process gas line LI, the exhaust line L3, and the cleaning gas line L4.
  • FIG. 16 shows the processing apparatus 10 according to the fifth embodiment, in section.
  • the same components are identified by the same reference numerals as those of FIG. 5.
  • the gas lines and RF power sources are not illustrated in FIG. 16.
  • chiller paths 31 are embedded in the electrode supporter 18 and the side wall of chamber 11.
  • a chiller flows through the chiller paths 31, thereby the internal surface of the chamber 11, especially the electrode plate 17 supported by the electrode supporter 18 and the wall of the chamber 11, are retained at a predetermined temperature.
  • the system controller 100 controls the flow system of the chiller so as to adjust the temperature of the chamber 11.
  • chiller implies a fluid material for maintaining the temperature of an object, but not for simply cooling (chilling) an object.
  • the inside of the chamber 11 which is in a vacuum state is thus essentially retained at a very low temperature, so that the electrode plate 17, etc. is substantially heated up by the chiller.
  • the electrode plate 17 has a plurality of holes 17a for introducing the process gas into the chamber 11.
  • the electrode plate 17 is one component onto which the film is most likely to be adhered, and hence is one component which should firstly be cleaned among of the chamber member. Because of the structure that the electrode plate 17 includes the plurality of holes 17a, the electrode plate 17 can not easily be cleaned. By heating the electrode plate 17 using the chiller, the cleaning rate of the electrode plate 17 can partially be enhanced.
  • Example 7 The cleaning process is carried out on the following conditions, using the processing apparatus 10 of this embodiment which includes the lid member 29.
  • a SiOF film is formed in a thickness of 5 ⁇ m on a wafer W with the distance of 50mm between the electrodes.
  • the cleaning gas at a ration of NF 3 /Ar 1000/1000 (sccm/sccm) flows at a pressure of 13 Pa into the chamber 11.
  • the temperature of the chiller flowing into the electrode supporter 18 and wall of the chamber 11 is set to 100°C.
  • the chip on which the silicon oxide film is formed is provided on the electrode plate 17, and a reduction in the thickness of the silicon oxide film is measured.
  • FIG. 17 shows such experimental results. As seen from FIG. 17, as compared to the case where the electrode plate 17 is not heated, a high cleaning rate at the electrode plate 17 can be obtained in the case where the electrode plate 17 is heated. According to the processing apparatus of the fifth embodiment, wherein the electrode plate 17 is heated, the cleaning rate can be enhanced at the electrode plate 17 which is difficult to sufficiently be cleaned, thus enabling to evenly 5 perform the cleaning of the chamber 11. Further, by heating the wall of the chamber 11, the cleaning rate can highly be obtained throughout the chamber 11.
  • the walls of the electrode plate 17 and chamber 11 are heated by the chiller.
  • the wall may be heated using any other methods. 10
  • a heater 32 such as a resistor, etc. may be included in the chamber 11.
  • the walls of the electrode plate 17 and chamber 11 may be heated by a lamp 33, such as a halogen lamp, etc.
  • a window 34 may be prepared on the side surface of the chamber 11, so that the electrode plate 15 17, etc. is heated by irradiating light thereto from the lamp 33 through the window 34.
  • FIG. 17 also shows results of cleaning experiments, respectively in the cases where the electrode plate 17 is heated by the heater 32 shown in FIG. 18 and heated by the lamp 33 shown in FIG. 19, in addition to the cases where the electrode plate 20 17 is not heated and is heated by the chiller.
  • the heater 32 and the lamp 33 are set at 100°C.
  • the electrode plate 17 is heated by the heater 32 or the lamp 33, to obtain a high cleaning rate at the electrode plate 17. Accordingly, the electrode plate 17 is heated, thereby enhancing the cleaning rate at the electrode 25 plate 17 which can not sufficiently be cleaned.
  • the temperature of the heater 32 or lamp 33 is set at 100°C
  • the temperature is not limited to 100°C, as long as the cleaning inside the chamber 11 can evenly be achieved.
  • the SiOF film is formed on 30 the wafer W, and the cleaning of the chamber 11 is done using an NF 3 gas, in the parallel-plate-type plasma processing apparatus 10.
  • the film to be formed is not limited to the SiOF film, and a silicon-containing film, such as SiO 2 , SiC, SiN, SiCN, SiCH, SiOCH, etc. may be formed.
  • the cleaning gas may include, not only the NF 3 gas, but a fluorine-containing gas, such as CF 4 , C 2 F 6 , SF 6 , etc., or a chlorine-containing gas, such as Cl 2 , BC1 4 , etc.
  • the present invention may also be applied to a processing apparatus wherein LCD (Liquid Crystal Display) devices are processed.
  • the cleaning gas is activated so as to generate plasma of the cleaning gas, especially containing radicals.
  • the active species other than the radicals, may be employed, so as to perform the cleaning of the chamber.
  • the present invention according to the second to fifth embodiments is applicable, not only to the parallel-plate-type plasma processing apparatus, but any other type of plasma processing apparatus, such as an ECR-type processing apparatus, an ICP-type processing apparatus, a helicon-type processing apparatus, a micro-wave-type processing apparatus, etc.
  • the present invention is applicable not only to the plasma processing apparatus, but any other processing apparatus, such an etching apparatus, a sputtering apparatus, a heat processing apparatus, etc.
  • the present invention mentioned above is useful for manufacturing semiconductor products.

Abstract

Provided is a parallel-plate-type processing apparatus (10), which performs plasma CVD and includes a chamber (11) to be cleaned. To perform cleaning of the chamber (11), plasma of a gas including fluorine is generated outside the chamber (11), and supplied into the chamber (11). During the cleaning, an RF power is applied to electrode plates (12, 17) inside the chamber (11).

Description

DESCRIPTION PROCESSING APPARATUS AND CLEANING METHOD
Technical Field The present invention relates to a processing apparatus and a cleaning method in which an efficient cleaning is possible.
Background Art Various CVD (Chemical Vapor Deposition) apparatuses are used for manufacturing electronic devices, such as semiconductor devices, LCD (Liquid Crystal Display) devices, etc. Plasma CVD apparatuses are widely used for forming high quality films.
The plasma CVD apparatus forms a film on a semiconductor wafer contained inside a decompressed chamber, using a CVD method. The CVD method employs a gas phase reaction. Thus, films are formed only on the surface of the wafers, but on the surface (internal wall, etc.) of a chamber member. Thus formed films cause particles to be generated, thereby lowering the yield of the products. In such circumstances, it is necessary to regularly clean the inside of the chamber, to remove the films formed on the chamber member.
A well-known method for cleaning the inside of the chamber is an in-situ plasma cleaning method, wherein a cleaning gas is introduced into the chamber, and plasma is generated from a gas inside the chamber. However, plasma is generated inside the chamber, so that the chamber member is likely to be deteriorated.
A remote plasma cleaning method has been proposed. In this remote plasma cleaning method, plasma of a cleaning gas is generated outside the chamber, and the generated plasma is introduced into the chamber so as to clean the inside of the chamber. Using this remote plasma cleaning method, the chamber member is unlikely to be deteriorated. Such a remote plasma cleaning method is disclosed in Unexamined Japanese Patent Application KOKAI Publication No. H9-69504 (U.S. Priority No. 08/278605). A problem in the remote plasma cleaning method is that it requires a relatively long period of time for the cleaning. In the remote plasma cleaning method, the plasma gas is introduced from one or two point(s) into the chamber, so that the inside of the chamber is not evenly cleaned. Using the remote plasma cleaning method, it takes a long time for cleaning entirely the inside of the chamber, resulting that a part of the chamber member is deteriorated due to the excessive cleaning.
Accordingly, in the conventional CVD apparatus, the cleaning of the chamber is not performed with high efficiency, and a high yield of the products is not sufficiently be obtained. Disclosure of Invention
The present invention has been made in consideration of the above. It is accordingly an object of the present invention to provide a processing apparatus and a cleaning method by which a cleaning with high efficiency is possible. In order to achieve the above objects, according to the first aspect of the present invention, there is provided a processing apparatus (10) comprising: a chamber (11); a gas source (SA) for supplying a gas for cleaning inside of said chamber (11); a gas line (LI) for introducing the gas supplied from said gas source (SA) into said chamber (11); an activator (27) which is prepared in said gas line (LI) and activates the gas supplied from said gas source (SA); and at least three gas inlets (28) which are provided at a side wall of said chamber (11) and connected to said gas line (LI).
In order to achieve the above objects, according to the second aspect of the present invention, there is provided a method for cleaning a processing apparatus (10) including two electrodes (12, 17) in a chamber (11), said method comprising the steps of: introducing a gas for cleaning into said chamber (11); and applying an RF power to each of the two electrodes (12, 17), thereby activating the gas for cleaning.
Brief Description of Drawings FIG. 1 is a diagram showing the structure of a processing apparatus according to the first embodiment of the present invention. FIG. 2 is a diagram showing cleaning results obtained using the processing apparatus of FIG. 1.
FIG. 3 is a diagram showing cleaning results obtained using the processing apparatus of FIG. 1. FIG. 4 is a diagram showing the structure of a processing apparatus according to the second embodiment of the present invention.
FIG. 5 is a cross sectional view showing the processing apparatus of FIG. 4.
FIG. 6 is a diagram showing cleaning results obtained using the processing apparatus of FIG. 4. FIG. 7 is a diagram showing cleaning results obtained using the processing apparatus of FIG. 4.
FIG. 8 is a diagram showing the structure of a processing apparatus according to the third embodiment of the present invention.
FIG. 9 is a diagram showing cleaning results obtained using the processing apparatus of FIG. 8.
FIG. 10 is a diagram showing a processing apparatus as a comparative example.
FIG. 11 is a diagram showing a lid member included in a processing apparatus according to the fourth embodiment. FIG. 12 is a diagram showing cleaning results obtained using the processing apparatus according to the fourth embodiment.
FIG. 13 is a diagram showing a modification of the lid member included in the processing apparatus according to the fourth embodiment.
FIG. 14 is a diagram showing further cleaning results obtained using the processing apparatus of the fourth embodiment.
FIG. 15 is a diagram showing the lid member as a comparative example as a comparative example.
FIG. 16 is a diagram showing the structure of a processing apparatus according to the fifth embodiment. FIG. 17 is a diagram showing cleaning results obtained using the processing apparatus of the fifth embodiment.
FIG. 18 is a diagram showing another structure of the processing apparatus of the fifth embodiment.
FIG. 19 is a diagram showing another structure of the processing apparatus of the fifth embodiment.
Best Mode for Carrying Out the Invention A processing apparatus according to the first embodiment of the present invention will now be explained with reference to the accompany drawings. The processing apparatus according to the first embodiment includes a chamber. In this chamber, SiOF films are formed respectively on semiconductor wafers (hereinafter referred to as a wafer W) using a plasma CVD method, with a process gas containing SiH , SiF4 and O2. The SiOF film remaining in the chamber after the formation is removed therefrom, using a cleaning gas containing NF3. First Embodiment
FIG. 1 shows a cross sectional view of a processing apparatus 10 according to the first embodiment of the present invention. As shown in FIG. 1, the processing apparatus 10 comprises a chamber 11, a cleaning gas line LI, a process gas line L2, an exhaust line L3, and a system controller 100. The cleaning gas line LI connects the chamber 11 to an NF3 source SA, serving as a cleaning gas source, and also to an Ar source SB, serving as a carrier gas source. The NF3 source SA and Ar source SB are connected to the cleaning gas line LI, respectively through mass-flow controllers MA and MB, and also through valves VA and VB. Those lines, for connecting the NF3 source SA and Ar source SB, and chamber 11 are, connected on vent parts of the valves VA and VB so as to be formed into a single line. In this structure, NF3 and Ar are mixed at a predetermined ratio by the controllers MA and MB and valves VA and VB, and supplied to the chamber 11.
The process gas line L2 connects chamber 11 to an SiF4 source SC, an SiH source SD, an O2 source SE, and to an Ar source SF. The SiF source SC, SiH4 source SD, O2 source SE, and Ar source SF are connected to the process gas line L2 respectively through mass-flow controllers MC, MD, ME, and MF, and also through valves VC, VD, VE, and VF. Those lines for connecting the SiF source
SC, SiH4 source SD, O2 source SE and Ar source SF, and chamber 11 are converged on the vent parts of the valves VC, VD, VE, and VF, so as to be formed into a single line. In this structure, SiF4, SiH , O2 and Ar are mixed at a predetermined ratio by the mass-flow controllers MC, MD, ME, and MF and also the valves VD,
VD, VE, and VF, and supplied to the chamber 11.
The chamber 11 is a reactive chamber which can be decompressed into a vacuum state. The chamber 11 is formed approximately in a cylindrical shape, made of aluminum, etc., and is grounded.
Provided on the side wall of the chamber 11 is a gate for carrying in and out wafers W to and from the chamber 11 through a gate valve. A susceptor 12 is provided in the middle of the chamber 11. The susceptor 12 made from a conductor such as aluminum, for example, and formed almost in a cylindrical shape. Mounted on the upper surface of the susceptor 12 is a wafer W and an electrostatic chuck which electrostatically absorbs the wafer W so as to fix the wafer W thereonto.
A focus ring 13 is provided on the upper surface of the susceptor 12. In this structure, plasma can effectively contact the wafer W mounted on the susceptor 12.
There is provided in the susceptor 12 a lift pin which can go up and down for receiving and providing the wafer.
A chiller room 14 is provided in the susceptor 12. A chiller flows into each of the chiller room 14 through a pipe. The temperature of the susceptor 12 and wafer W on the susceptor 12 is adjusted by the chiller. Note that, a chiller means a temperature controlling medium herein.
The susceptor 12 is connected to the first RF power source 16 through the first matching box 15. One end of the first RF power source 16 is grounded, so that an RF voltage can be applied to the susceptor 12. An electrode plate 17 is tightened up to an electrode supporter 18 at the ceiling of the chamber 11. The electrode plate 17 faces and is parallel to the susceptor 12. The electrode plate 17 is formed from a conductor such as aluminum. A Shield ring 19, for protecting the sections of the electrode plate 17 which are fixed to the electrode supporter 18, is provided beneath the peripheral of the electrode plate 17.
The electrode plate 17 is connected to the second RF power source 21 through the second matching box 20. One end of the second RF power source 21 is grounded, so that an RF voltage is applied to the electrode plate 17. Accordingly, the electrode plate 17 and susceptor 12 function respectively as an upper electrode and lower electrode of a parallel-plate-type plasma CVD apparatus. A cleaning-gas inlet pipe 22 and a process-gas inlet pipe 23 are provided on the upper section of the chamber 11. The cleaning-gas inlet pipe 22 is connected to the cleaning gas line LI, so that a cleaning gas is introduced into the chamber 11 through the cleaning gas inlet pipe 22. The process-gas inlet pipe 23 is connected to the process gas line L2, so that a process gas is introduced into the chamber 11 through the process-gas inlet pipe 23.
The electrode supporter 18 includes a diffusing portion such as a hollow, for diffusing the process gas. The electrode plate 17 has a plurality of holes 17a throughout the electrode plate 17. The cleaning gas and process gas which are diffused by the diffusing portion are sent to the wafer W through the holes 17a of the electrode plate 17.
An annular vent 24 is provided at the bottom of the chamber 11. The vent 24 is connected to the exhaust line L3. The exhaust line L3 is connected to a TMP (Turbo Molecular Pump) 25. A dry pump is provided downstream of the TMP 25, so that the chamber 11 can be decompressed so as to be in a vacuum state. An APC (Automatic Pressure Controller) 26 is provided between the TMP 25 and the chamber 11. The chamber 11 is controlled to be in a predetermined pressure level by the APC 26.
The system controller 11 controls the processing apparatus 10 totally, including a film formation process and a cleaning process which are carried out inside the processing apparatus 10.
The film formation process and cleaning process carried out by the processing apparatus 10 of the first embodiment will now be explained with reference to FIG. 1. Those procedures included in the above processes will be explained below for description purposes only, and the present invention is not limited to them.
The wafer W is carried into the chamber 11, and put on the susceptor 12. The wafer W is fixed thereon by the electrostatic chuck. The system controller 100 opens the valve VE so as to supply O2, and applies an RF power to the upper electrode (the electrode plate 17). Subsequently, the system controller 100 opens the valves VC, VD, and VF, supplies the chamber 11 with SiF4, SiH4, and Ar, and applies a voltage to the lower electrode (the susceptor 12). From this, plasma of the gas is generated, and the SiOF film formation reaction undergoes on and over the surface of the wafer W. After the SiOF film having a predetermined thickness is formed on the wafer
W, or after a predetermined time, the system controller 100 stops applying an RF power to the lower electrode, and closes the valves VC, VD, and VF, so as to stop supplying the chamber 11 with SiF4, SiH4, and Ar. After this, the electrostatic chuck is released. The system controller 100 closes the valve VE so as to stop supplying O2 and applying an RF power to the upper electrode. Then, the wafer W is carried out from the chamber 11, and hence completing the film formation process.
After the above-described film formation process is done for a predetermined number of wafers, the system controller 100 starts cleaning the chamber 11. A dummy wafer W for cleaning is carried into the chamber 11, and put on the susceptor 12. The dummy wafer W put on the susceptor 12 is fixed by the electrostatic chuck. Then, the system controller 100 opens the valves VA and VB, and supplies the chamber 11 with NF3 and Ar.
A cleaning gas is supplied into the chamber 11 at a ratio of NF3/Ar=500/500 (sccm/sccm). The pressure inside the chamber 11 is set to 13Pa by the APC 26. After the cleaning gas is thus supplied, the system controller 100 start applying an RF power to the upper and lower electrodes, so as to begin the cleaning. Note that applied to the upper electrode is an RF power of approximately 1500W, and applied to the lower electrode is an RF power of approximately 500W. Upon application of the RF power to the cleaning gas, plasma of the gas especially containing fluorine radical is generated. An NF3 plasma (containing, mainly, fluorine radical) reacts with SiOF deposited inside the chamber 11, as explained in the following formula. As shown in the following formula, SiOF is decomposed by NF3, so as to be exhausted as a gas of, for example, SiH , etc. SiOF+NF3→SiF4 t +l/2O2 t +1/2N2 T
The system controller 100 monitors a light emission of the plasma generated (for example, of oxygen) during the cleaning process, and detects the end point of the cleaning. As described above, O2 is generated at the same time of the decomposition of SiOF, and the amount of O2 changes during the cleaning process. That is, the system controller 100 can detect the end point of the cleaning, by monitoring the amount of oxygen (based on an emission intensity). Note that the end point of the cleaning can be detected by any other methods, such as a method for detecting the pressure inside the chamber, etc.
Upon the end point of the cleaning, the system controller 100 stops applying an RF power to the upper and lower electrodes. Subsequently, the system controller 100 opens the valves VE and VF, supplies the chamber 11 with O2 and Ar, and starts applying an RF power to the upper electrode. After this, the system controller 100 stops supplying the chamber 11 with Ar and applying the RF power to the upper electrode. The system controller 100 stops supplying the chamber 11 with O2, and releases the electrostatic chuck. Then, the dummy wafer W is carried out from the chamber 11, thereby completing the cleaning process.
Example 1 FIGS. 2 and 3 show cleaning results achieved after the film formation which is done using the plasma processing apparatus 10 according to the first embodiment of the present invention. In this Example 1, during a film formation, a SiOF film is formed to have a thickness of 5 ju m on the wafer W, within the distance 50mm between the electrodes. In addition, during a cleaning process, the system controller 100 supplies the chamber 11 with NF3/Ar=500/500 (sccm sccm) at a pressure of 13Pa, and applies an RF power of 1500W to the upper electrode (the electrode plate 17). FIG. 2 shows the relationship between the cleaning time and the applied RF power, in the case where the cleaning is performed with applying the RF power to the upper and lower electrodes using the processing apparatus of the first embodiment. As seen from FIG. 2, it is clear that the processing apparatus which applies the RF power to the upper and lower electrodes can achieve the cleaning at a shorter period of time than the case where the RF power is applied only to the upper electrode. In the case where RF powers of 300W and 500W are applied to the lower electrode, the cleaning time is reduced to 76MIN and 70MIN, respectively. Accordingly, in the processing apparatus of this embodiment which performs the cleaning by applying an RF power not only to the upper electrode, but also to the lower electrode, a high cleaning rate can be obtained, and hence enabling to perform the cleaning with high efficiency.
In the above-described embodiment, the explanations have been made to the pressure inside the processing apparatus according to the first embodiment, wherein the pressure inside the chamber 11 is retained approximately at a pressure of 13Pa. However, the present invention is not limited to the above, and the cleaning may be performed at a higher pressure than the above pressure of 13Pa.
FIG. 3 shows the relationship between the cleaning time and the pressure inside the chamber 11. As obvious from FIG. 3, as compared to the case where the cleaning is done at a pressure of 13Pa inside the chamberl 1, the cleaning time can be reduced in the case where the pressure is increased to a pressure of 50Pa. Accordingly, a high cleaning rate can be obtained by performing the cleaning at a moderate level of a vacuum inside the chamber 11.
Second Embodiment A processing apparatus according to the second embodiment includes a chamber. Inside the chamber, a SiOF film is formed on a wafer W using a plasma CVD method, with a process gas containing SiH4, SiF , and O2. The SiOF film deposited inside the chamber after the film formation process is removed using a cleaning gas including NF3. The cleaning gas is activated outside the chamber so as to be used.
FIG. 4 shows the structure of the processing apparatus 10 according to the second embodiment of the present invention. FIG. 5 is a cross sectional view of the processing apparatus 10. In FIGS. 4 and 5, the same components are identified by the same reference numerals. As shown in FIG. 4, the processing apparatus 10 of the second embodiment includes a cleaning-gas line L4 provided with an activator 27.
The activator 27 is connected to the cleaning gas source SA and the carrier gas source SB respectively through the valves VA and VB and also through mass flow controllers MA and MB. The activator 27 has a plasma generation mechanism. This mechanism activates the gas passing through the activator 27, so as to generate plasma of the gas. Of cleaning gas plasmas, a fluorine radical which is generated from NF3 is selectively discharged from the activator 27.
As shown in FIG. 5, the branched cleaning gas lines L4 is connected to two cleaning gas inlets 28 which are provided at the side wall of the chamber 11. The two cleaning gas inlets 28 face each other at the inner wall of the chamber 11. The cleaning gas plasma discharged from the activator 27 is introduced into the chamber 11 through the two cleaning gas inlets 28.
Operations of the processing apparatus 10 according to the second embodiment, in the case where the cleaning process is carried out, will now be explained with reference to FIGS. 4 and 5. The following operations will now be described by way of example, and the present invention is not limited to the below.
After the film is formed on a predetermined number of wafers W, the system controller 100 begins the cleaning of the chamber 11.
The dummy wafer W for cleaning is carried into the chamber 11, and put on the susceptor 12. The dummy wafer W on the susceptor 12 is fixed by the electrostatic chuck. Subsequently, the system controller 100 opens the valves VA and VB, and supplies the chamber 11 with NF3 and Ar.
The cleaning gas is supplied into the chamber 11 at a ratio of NF3/Ar=500/500 (sccm/sccm). The pressure inside the chamber 11 during the cleaning is retained in a range between lOOPa and 400Pa by the APC 26.
After the NF3 gas and Ar gas are supplied into the chamber 11, the system controller 100 activates the activator 27. The activator 27 activates the supplied gas therein to generate plasma of the gas, and then discharges the plasma (containing mainly fluorine radical) to the chamber 11. The SiOF film remaining and adhered to the inside of the chamber 11 is decomposed to SiF4, etc. by the cleaning gas mainly containing the fluorine radical, so as to be discharged therefrom. Accordingly, the cleaning is thus proceeded, and the SiOF film deposited inside the chamber 11 is removed.
When the system controller 100 determines that the cleaning has been completed based on the emission intensity of oxygen, it inactivates the activator 27. Further, the system controller 100 closes the valves VA and VB, so as to stop supplying the chamber 11 with the cleaning gas. After this, the system controller 100 opens the valves VE and VF, so as to supply O2 and Ar into the chamber 11. Subsequently, the system controller 100 releases the electrostatic chuck, and stops supplying O2 and Ar into the chamber 11. After this, the dummy wafer W is carried out from the chamber 11, thereby completing the cleaning process.
Example 2 FIG. 6 shows the relationship between the time for cleaning and the pressure inside the chamber 11, and shows some results of the cleaning done after the film formation, using the processing apparatus according to the second embodiment of the present invention. In Example 2, during the process for forming the film, a SiOF film is formed to have a thickness of 5 β m on the wafer W, with the distance of 50mm between the electrodes.
FIG. 6 shows the results of the cleaning with a variety of pressure levels. As seen from FIG. 6, as compared to the case where the pressure inside the chamber 11 is in a high vacuum state of approximately OPa, a high cleaning rate can be obtained if the pressure is within a range between lOOPa and 400Pa. Note also that, in the case where the pressure inside the chamber 11 is approximately 200Pa, the most highest cleaning rate can be obtained. According to the second embodiment wherein the cleaning is performed at a pressure in a range between lOOPa and 400Pa inside the chamber 11, the cleaning can desirably be achieved with high efficiency.
Example 3 In the above-described second embodiment, an RF power may be applied to the upper electrode. This realizes that the cleaning gas (mainly containing fluorine radical) activated outside the chamber 11 can further be activated inside the chamber 11. According to this structure, a high cleaning rate can be obtained. FIG. 7 shows the relationship between the time for cleaning and the RF power applied onto the upper electrode, in the case where the cleaning is performed after the SiOF film is formed on the wafer W in a thickness of 5 μ. m. During the cleaning, an RF power of 500W is applied to the upper electrode, and the pressure inside the chamber 11 is 200Pa.
As obvious from FIG. 7, if the RF power is applied to the upper electrode and a remote plasma gas is used for cleaning the chamber 11, the cleaning is achieved at a cleaning time which is shorter than one fifth of the cleaning time in the case where the RF power is not applied thereto. Accordingly, with applying the RF power to the upper electrode to activate the cleaning gas in the chamber 11, the cleaning with a high cleaning rate is possible.
Note that the cleaning may be performed, while the RF power is applied not only to the upper electrode, but also to the lower electrode.
Third Embodiment A processing apparatus according to the third embodiment of the present invention includes a chamber. In this chamber, a SiOF film is formed on a wafer W using a plasma CVD method, which employs a process gas containing SiH4, SiF and O2. The SiOF film remaining and adhered to inside of the chamber 11, after the film formation process, is removed using a cleaning gas containing NF3. The cleaning gas is activated outside the chamber so as to be used.
The processing apparatus according to the third embodiment of the present invention has the same structure as that of the processing apparatus of the second embodiment shown in FIGS. 4 and 5. FIG. 8 shows the structure of the process according to the third embodiment. In FIG. 8, the same components are identified by the same reference numerals as those of FIG. 4.
As shown in FIG. 8, the processing apparatus 10 of this embodiment includes three cleaning gas inlets 28 at the inner wall of the chamber 11. The three cleaning gas inlets 28 are connected to the cleaning gas line L4 respectively. The cleaning gas inlets 28 are provided approximately at equal intervals. The cleaning gas is supplied into the chamber 11 through each of the cleaning gas inlets 28 substantially at the same supply pressure.
Example 4 FIG. 9 shows results of film formation processes and cleaning processes done by the processing apparatus of the third embodiment, hi FIG. 9, comparisons are made to the cleaning results done by the processing apparatus of this embodiment. Specifically, the comparisons are made to one case where the cleaning gas spouts in two ways, and the other case where the cleaning gas spouts into the chamber 11 in three ways.
In the process for forming the film, a SiOF film is formed in a thickness of 5 μ. m on the wafer W with the distance of 50mm between the electrodes. In the cleaning process, the cleaning gas of NF3/Ar= 1000/ 1000 (sccm/sccm) is supplied into and through the chamber 11 at a pressure of 13 Pa. In an experiment, a plurality of chips on each of which a silicon oxide film is formed are provided respectively on a plurality of points inside the chamber 11. The thickness of the silicon oxide film of each of the chips is measured after cleaning. The cleaning rate at each of the points in the chamber 11 is calculated, based on a reduction in the measured thickness of the silicon oxide film. The points for measuring the cleaning rate are identified by symbols of I to V, as illustrated in FIG. 8. For the processing apparatus 10 wherein the cleaning gas spouts in two ways, the cleaning rate is measured at each of the points I to V, as shown in FIG. 10. The chip at the point I is put on the susceptor 12, and the rest of the chips respectively at the points II to V are put on almost the same plane as the susceptor 12.
As seen from FIG. 9, in the case where the cleaning gas is supplied from two points (in two ways), the cleaning rate at the point IJ, which is farthest from the cleaning gas inlets 28, is lower than the cleaning rate at any other points I, III, TV, and V. In the third embodiment wherein the cleaning gas is supplied from three points (in three ways), the etching rate at the point II is almost equal to or larger than the etching rate at any other points I, D3, IV, and V. In consideration of this, in the processing apparatus 10 of the third embodiment thus including the three cleaning gas inlets 28 in the chamber 11, the uniformity of the cleaning rate can be obtained, and the cleaning is performed with high efficiency. In the above-described third embodiment, the three cleaning gas inlets 28 are provided at equal intervals on the side wall of the chamber 11. However, the cleaning gas inlets 28 may be provided at any other intervals. Further, the number of the cleaning gas inlets 28 is not limited to three, and more than three cleaning gas inlets may be provided. Fourth Embodiment
A processing apparatus according to the fourth embodiment of the present invention includes a chamber. In this chamber, a SiOF film is formed on a wafer using a plasma CVD method, which employs a process gas containing SiH , SiF4, and O2. The SiOF film remaining in and adhered to the inside of the chamber after the film formation process is removed by a cleaning gas containing NF3. The cleaning gas is activated outside the chamber, so as to be used.
The processing apparatus according to the fourth embodiment of the present invention has the same structure as that of the process according to the third embodiment shown in FIGS. 5 and 8. In the processing apparatus 10 of the fourth embodiment, a lid member 29 shown in FIG. 11 is built on each of the three cleaning gas inlets 28. In this structure, the cleaning gas is introduced into the chamber 11 through the lid member 29.
As illustrated in FIG. 11, the lid member 29 is formed in a rectangular shape, and has five slit-like openings 30. Those five openings 30 are formed in parallel 5 with each other. The size of the lid member 29 is approximately the same as the section of each of the cleaning gas inlets 28. The cleaning gas is supplied into the chamber 11 through the openings 30. The lid member 29 is made of Al O3, for example.
The opening percentage of the lid member 29 is set in a range from 50% to 10 80%. Note that the opening percentage in this case implies a ratio of the entire area of the openings 30 included in the lid member 29 to the entire area of the lid member 29, i.e. (Opening (%)) = (Entire Area of Openings 30) / (Entire Area of Lid
Member 29) X 100.
Example 5 15 FIG. 12 shows results of cleaning experiments done using the processing apparatus 10 according to the fourth embodiment of the present invention.
The cleaning experiments are performed in the same manner as that of the
Example 4. In the film formation process, a SiOF film is formed in a thickness of
5 jt/ m on the wafer W, with the distance of 50mm between the electrodes. In the 20 cleaning process, the cleaning gas is supplied at a ratio of NF3/Ar= 1000/ 1000
(sccm/sccm) and pressure of 13Pa inside the chamber 11.
The opening percentage of the lid member 29 is set to 62%. For comparison, the cleaning experiments are done using a plurality of lid members 29 whose opening percentages are 10%, 35%, and 100%, respectively. 25 Likewise the Example 4, in the cleaning experiments, chips on each of which a silicon oxide film is formed are provided at each of the points inside the chamber
11. The thickness of the silicon oxide film is measured. The cleaning rate at each of the points is obtained by calculating a reduction in the thickness of the silicon oxide film. 30 The points for measuring the cleaning rate are identified by symbols of I to V, as shown in FIG. 8. Note that the chip at the point I is put on the susceptor 12, and the rest of the chips at the points II to V are provided on the same plane as the susceptor 12.
As seen from FIG. 12, if the opening percentage of the lid member 29 is 5 100%, the cleaning rates respectively at the points I to V widely vary. If the opening percentage of the lid member 29 is 10% or 35%, the cleaning rates thereat are quite uniform. However, such cleaning rates, in the case of 10% or 35% of the opening percentage, are not sufficiently high. Alternatively, according to the processing apparatus of the fourth embodiment using the lid member 29 whose 0 opening percentage is 62%, nearly-uniform cleaning rates are highly obtained at each of the points I to V inside the chamber 11.
Accordingly, in the processing apparatus 10 of this embodiment using the lid member 29 whose opening percentage is in a range from 50% to 80%, sufficiently high cleaning rates can be obtained. In addition, the cleaning gas can be supplied 5 into the chamber 11 with uniformity.
In the fourth embodiment, the openings 30 of the lid member 29 are formed in a slit-like shape. However, the shape of the openings 30 is not limited to this. For example, the openings 30 may be formed in a circular shape, a polygonal shape, or any other shapes. Further, the plurality of slit-shaped openings 30 may be 0 included in parallel with each other. In addition, the shape of the Ud member 29 is not limited to the rectangular shape, and the lid member 29 may be formed in a circular shape in conformity with the section of the cleaning gas inlets 28.
In the fourth embodiment, the openings 30 of the lid member 29 may be set at a variety of angles, respectively, as shown in FIG. 13. In this structure, the 5 cleaning gas can uniformly spout into the chamber 11.
FIG. 13 shows a state wherein the lid member 29 of FIG. 11 is fixed into the cleaning gas inlet 28. Of five openings 30 of the lid member 29, a central opening 30a forms a path perpendicular to the main surface of the lid member 29. Openings 30b and 30c, except the central opening 30a, form paths diagonally to the 0 main surface. Specifically, the two openings 30b adjacent to the central opening 30a form paths at an angle of 60° with the main surface, whereas the two end openings 30c form paths at an angle of 45° therewith.
In the structure where the lid member 29 includes the openings 30b and 30c forming the paths diagonally to the main surface, the cleaning gas diagonally spouts from the openings 30b and 30c. Hence, the gas spouts evenly from the cleaning gas inlet 28.
Example 6 FIG. 14 shows results of cleaning experiments achieved using the processing apparatus 10 according to the fourth embodiment, including the lid member 29 of FIG. 13.
Those cleaning experiments are done in accordance with the same steps as those of the Example 4. In the film formation process, the SiOF film of 5 μ m is formed on the wafer W, with the distance of 50mm between the electrodes. In the cleaning process, the cleaning gas flows into and through the chamber, at a ratio of NF3/Ar = 1000/1000 (sccm sccm) and at a pressure of 13Pa inside the chamber 11. The opening percentage of the lid member 29 is set to 35%. For comparison, the same cleaning experiment as the experiment of the Example 4 is performed using the lid member 29 including only the vertical openings 30a of FIG. 15. Likewise the Example 4, in the experiments, the chip on which a silicon oxide film is formed is provided on each of the points inside the chamber 11, and the thickness of the silicon oxide film is measured. The cleaning rate at each of the points is calculated by measuring the reduction in the thickness of the silicon oxide film.
The points for measuring the cleaning rate are identified by the symbols of I to V shown in FIG. 8. The chip at the point I is put on the susceptor 12, and the rest of the chips at the respective points II to V are provided almost on the same plane as the susceptor 12.
As obvious from FIG. 14, in the case where the lid member 29 including only the vertical openings 30a is used, the cleaning rate is the lowest at the point in, and the cleaning rate widely vary at each points I to V. In the case where the lid member 29 including the diagonal openings 30b and 30c is used, the cleaning rates are approximately the same at the respective points U to V, i.e. except at the point I (on the susceptor 12). Accordingly, with the utilization of the chamber 29 including the openings 30b and 30c forming the paths at predetermined angles (e.g. 45° , 60° ), the cleaning gas is supplied in different directions into the chamber 11, thereby enabling to evenly clean the inside of the chamber 11.
In the above examples, the angles of the paths from the diagonal openings 30b and 30c are not limited to 45° and 60° , and may be 70° , 30° , etc. In addition, in accordance with the number of the openings 30, the angles of the paths from the openings 30 may be changed. For example, if the number of the openings 30 is seven, the seven openings 30 may form paths having respectively an angle of 90° , 60° , 45° , and 30° , sequentially from the central one to both end openings.
Fifth Embodiment A processing apparatus according to the fifth embodiment of the present invention includes a chamber. In this chamber, a SiOF film is on a wafer W using a plasma CVD method, which employs a process gas containing SiH4, SiF4 and O2. The SiOF film remaining and adhered to the inside of the chamber 11 is removed using a cleaning gas containing NF3. This cleaning gas is activated outside the chamber 11 so as to be used.
The processing apparatus according to the fifth embodiment of the present invention has the same structure as that of the processing apparatus of the second embodiment which is shown in FIG. 4. In the processing apparatus according to the fifth embodiment, the chamber 11 is connected to the process gas line LI, the exhaust line L3, and the cleaning gas line L4.
FIG. 16 shows the processing apparatus 10 according to the fifth embodiment, in section. In FIG. 16, the same components are identified by the same reference numerals as those of FIG. 5. For the sake of simplicity, the gas lines and RF power sources are not illustrated in FIG. 16. In the processing apparatus 10 shown in FIG. 16, chiller paths 31 are embedded in the electrode supporter 18 and the side wall of chamber 11. A chiller flows through the chiller paths 31, thereby the internal surface of the chamber 11, especially the electrode plate 17 supported by the electrode supporter 18 and the wall of the chamber 11, are retained at a predetermined temperature. In the cleaning process, the system controller 100 controls the flow system of the chiller so as to adjust the temperature of the chamber 11. In this specification, the term, chiller, implies a fluid material for maintaining the temperature of an object, but not for simply cooling (chilling) an object. The inside of the chamber 11 which is in a vacuum state is thus essentially retained at a very low temperature, so that the electrode plate 17, etc. is substantially heated up by the chiller.
The electrode plate 17 has a plurality of holes 17a for introducing the process gas into the chamber 11. In this structure, the electrode plate 17 is one component onto which the film is most likely to be adhered, and hence is one component which should firstly be cleaned among of the chamber member. Because of the structure that the electrode plate 17 includes the plurality of holes 17a, the electrode plate 17 can not easily be cleaned. By heating the electrode plate 17 using the chiller, the cleaning rate of the electrode plate 17 can partially be enhanced.
Example 7 The cleaning process is carried out on the following conditions, using the processing apparatus 10 of this embodiment which includes the lid member 29. In the film formation process, a SiOF film is formed in a thickness of 5 μ m on a wafer W with the distance of 50mm between the electrodes. In the cleaning process, the cleaning gas at a ration of NF3/Ar = 1000/1000 (sccm/sccm) flows at a pressure of 13 Pa into the chamber 11. The temperature of the chiller flowing into the electrode supporter 18 and wall of the chamber 11 is set to 100°C. To obtain the experimental outcome, the chip on which the silicon oxide film is formed is provided on the electrode plate 17, and a reduction in the thickness of the silicon oxide film is measured.
FIG. 17 shows such experimental results. As seen from FIG. 17, as compared to the case where the electrode plate 17 is not heated, a high cleaning rate at the electrode plate 17 can be obtained in the case where the electrode plate 17 is heated. According to the processing apparatus of the fifth embodiment, wherein the electrode plate 17 is heated, the cleaning rate can be enhanced at the electrode plate 17 which is difficult to sufficiently be cleaned, thus enabling to evenly 5 perform the cleaning of the chamber 11. Further, by heating the wall of the chamber 11, the cleaning rate can highly be obtained throughout the chamber 11.
In the fifth embodiment, the walls of the electrode plate 17 and chamber 11 are heated by the chiller. However, the wall may be heated using any other methods. 10 For example, as shown in FIG. 18, instead of the chiller paths 31, a heater 32, such as a resistor, etc. may be included in the chamber 11.
As shown in FIG. 19, the walls of the electrode plate 17 and chamber 11 may be heated by a lamp 33, such as a halogen lamp, etc. In this case, a window 34 may be prepared on the side surface of the chamber 11, so that the electrode plate 15 17, etc. is heated by irradiating light thereto from the lamp 33 through the window 34.
FIG. 17 also shows results of cleaning experiments, respectively in the cases where the electrode plate 17 is heated by the heater 32 shown in FIG. 18 and heated by the lamp 33 shown in FIG. 19, in addition to the cases where the electrode plate 20 17 is not heated and is heated by the chiller. The heater 32 and the lamp 33 are set at 100°C.
As seen from FIG. 17, the electrode plate 17 is heated by the heater 32 or the lamp 33, to obtain a high cleaning rate at the electrode plate 17. Accordingly, the electrode plate 17 is heated, thereby enhancing the cleaning rate at the electrode 25 plate 17 which can not sufficiently be cleaned. hi the fifth embodiment of the present invention, the temperature of the heater 32 or lamp 33 is set at 100°C However, the temperature is not limited to 100°C, as long as the cleaning inside the chamber 11 can evenly be achieved.
In the above-described first to fifth embodiments, the SiOF film is formed on 30 the wafer W, and the cleaning of the chamber 11 is done using an NF3 gas, in the parallel-plate-type plasma processing apparatus 10. However, the film to be formed is not limited to the SiOF film, and a silicon-containing film, such as SiO2, SiC, SiN, SiCN, SiCH, SiOCH, etc. may be formed. The cleaning gas may include, not only the NF3 gas, but a fluorine-containing gas, such as CF4, C2F6, SF6, etc., or a chlorine-containing gas, such as Cl2, BC14, etc. The present invention may also be applied to a processing apparatus wherein LCD (Liquid Crystal Display) devices are processed.
In the second to fifth embodiments, the cleaning gas is activated so as to generate plasma of the cleaning gas, especially containing radicals. However, by activating the cleaning gas, the active species, other than the radicals, may be employed, so as to perform the cleaning of the chamber.
The present invention according to the second to fifth embodiments is applicable, not only to the parallel-plate-type plasma processing apparatus, but any other type of plasma processing apparatus, such as an ECR-type processing apparatus, an ICP-type processing apparatus, a helicon-type processing apparatus, a micro-wave-type processing apparatus, etc. In addition, the present invention is applicable not only to the plasma processing apparatus, but any other processing apparatus, such an etching apparatus, a sputtering apparatus, a heat processing apparatus, etc. Industrial Applicability
The present invention mentioned above is useful for manufacturing semiconductor products.
This application is based on Japanese Patent Application No. 2000-239426 filed on August, 8, 2000 and including specification, claims, drawings and summary. The disclosure of the above Japanese Patent Application is incorporated herein by reference in its entirety.

Claims

1. A processing apparatus (10) comprising: a chamber (11); a gas source (SA) for supplying a gas for cleaning inside of said chamber (11); a gas line (LI) for introducing the gas supplied from said gas source (SA) into said chamber (11); an activator (12) which is prepared in said gas line (LI) and activates the gas supplied from said gas source (SA); and at least three gas inlets (28) which are provided at a side wall of said chamber
(11) and connected to said gas line (LI).
2. The processing apparatus (10) according to claim 1, wherein said at least three gas inlets (28) are provided at equal intervals.
3. The processing apparatus (10) according to claim 1, wherein said processing apparatus (10) includes a plasma generation mechanism for providing a target object with plasma processing in said chamber (11).
4. The processing apparatus (10) according to claim 1, wherein said activator (12) generates plasma of the gas.
5. A processing apparatus (10) comprising: a chamber (11); a gas source (SA) for supplying a gas for cleaning inside of said chamber
(ii); a gas line (LI) for introducing the gas supplied from said gas source (SA) into said chamber (11); an activator (12) which is prepared in said gas line (LI) and activates the gas supplied from said gas source (SA); and a gas inlet (28) which is provided on a surface of said chamber (11) and connected to said gas line (LI), and wherein said gas inlet (28) is covered with a lid member (29) including at least one opening (30) having an area in a range between 50% and 80% of an area of a main surface of the lid member (29).
6. The processing apparatus (10) according to claim 5, wherein said processing apparatus (10) includes a plasma generation mechanism for providing a target object with plasma processing in said chamber (11).
7. The processing apparatus (10) according to claim 5, wherein said activator (12) generates plasma of the gas.
8. A processing apparatus (10) comprising: a chamber (11); a gas source (SA) for supplying a gas for cleaning inside of said chamber (11); a gas line (LI) for introducing the gas supplied from said gas source (SA) into said chamber (11); an activator (12) which is prepared in said gas line (LI) and activates the gas supplied from said gas source (SA); and a gas inlet (28) which is provided on a surface of said chamber (11) and connected to said gas line (LI), wherein said gas inlet (28) is covered with a lid member (29) including at least one opening (30) which is provided diagonally with respect to a thickness direction of the lid member (29).
9. The processing apparatus (10) according to claim 8, wherein said processing apparatus (10) includes a plasma generation mechanism for providing a target object with plasma processing in said chamber (11).
10. The processing apparatus (10) according to claim 8, wherein said activator (12) generates plasma of the gas.
11. A processing apparatus (10) comprising: a chamber (11); a gas source (SA) for supplying a gas for cleaning inside of said chamber
(ii); a gas line (LI) for introducing the gas supplied from said gas source (SA) into said chamber (11); an activator (12) which is prepared in said gas line (LI) and activates the gas supplied from said gas source (SA); and a heat mechanism for heating the internal surface of said chamber (11).
12. The processing apparatus (10) according to claim 11, wherein said heat mechanism includes a path (31) for chiller embedded in said chamber (11).
13. The processing apparatus (10) according to claim 11, wherein said heat mechanism includes a heater (32) which is embedded in said chamber (11).
14. The processing apparatus (10) according to claim 11, wherein: said chamber (11) includes a window (34); and said heat mechanism is provided outside said chamber (11) and includes a lamp (33) for irradiating light to the internal surface of the chamber (11) through the window (34).
15. The processing apparatus (10) according to claim 11, wherein said processing apparatus (10) includes a plasma generation mechanism for providing a target object with plasma processing in said chamber (11).
16. The processing apparatus (10) according to claim 11, wherein said activator (12) generates plasma of the gas.
17. A method for cleaning a processing apparatus (10) including two electrodes in a chamber (11), said method comprising the steps of: introducing a gas for cleaning into said chamber (11); and applying an RF power to each of the two electrodes (12, 17), thereby activating the gas for cleaning.
18. The method according to claim 17, wherein the gas for cleaning is activated to generate plasma thereof.
19. A method for cleaning a processing apparatus (10) including two electrodes (12, 17) in a chamber (11), said method comprising the steps of: activating a gas for cleaning outside said chamber (11); introducing the activated gas into said chamber (11); and applying an RF power to at least one of the two electrodes (12, 17), thereby activating the gas for cleaning.
20. The method according to claim 19, wherein the gas for cleaning is activated to generate plasma thereof.
21. A method for cleaning a processing apparatus (10) including a chamber (11), said method comprising the steps of: activating a gas for cleaning outside said chamber (11); and introducing the activated gas into the chamber (11) in at least three ways.
22. The method according to claim 21, wherein said processing apparatus (10) provides a target object with plasma processing in the chamber (11).
23. The method according to claim 21, wherein the gas for cleaning is activated to generate plasma thereof.
24. A method for cleaning a processing apparatus (10) including a chamber (11), said method comprising the steps of: activating a gas outside the chamber (11); and introducing the activated gas into the chamber (11) in various directions.
25. The method according to claim 24, wherein said processing apparatus
(10) provides a target object with plasma processing in the chamber (11).
26. The method according to claim 24, wherein the gas for cleaning is activated to generate plasma thereof.
27. A method for cleaning a processing apparatus (10) including a chamber (11), said method comprising the steps of: activating a gas for cleaning outside the chamber (11); introducing the gas into the chamber (11); and retaining pressure in the chamber (11) in a range between lOOPa and 400Pa.
28. The method according to claim 27, wherein said processing apparatus (10) provides a target object with plasma processing in the chamber (11).
29. The method according to claim 27, wherein the gas for cleaning is activated to generate plasma thereof.
30. A method for cleaning a processing apparatus (10) including a chamber (11), said method comprising the steps of: activating a gas for cleaning outside the chamber (11); introducing the gas into the chamber (11); and heating an inner surface of the chamber (11).
31. The method according to claim 30, wherein said processing apparatus (10) provides a target object with plasma processing in the chamber (11).
32. The method according to claim 30, wherein the gas for cleaning is activated to generate plasma thereof.
PCT/JP2001/006784 2000-08-08 2001-08-07 Processing apparatus and cleaning method WO2002012587A2 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US10/344,042 US20040065344A1 (en) 2000-08-08 2001-08-07 Processing apparatus and cleaning method
KR1020037001839A KR100554643B1 (en) 2000-08-08 2001-08-07 Processing apparatus and cleaning method

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2000239426A JP2002057106A (en) 2000-08-08 2000-08-08 Treatment unit and its cleaning method
JP2000-239426 2000-08-08

Publications (2)

Publication Number Publication Date
WO2002012587A2 true WO2002012587A2 (en) 2002-02-14
WO2002012587A3 WO2002012587A3 (en) 2003-03-20

Family

ID=18730966

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/JP2001/006784 WO2002012587A2 (en) 2000-08-08 2001-08-07 Processing apparatus and cleaning method

Country Status (5)

Country Link
US (1) US20040065344A1 (en)
JP (1) JP2002057106A (en)
KR (1) KR100554643B1 (en)
TW (1) TW550674B (en)
WO (1) WO2002012587A2 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002078073A1 (en) * 2001-03-22 2002-10-03 Research Institute Of Innovative Technology For The Earth Method of cleaning cvd device and cleaning device therefor
WO2004066365A2 (en) * 2003-01-16 2004-08-05 Applied Materials, Inc. Cleaning of cvd chambers using remote source with cxfyoz based chemistry
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
WO2005104186A2 (en) * 2004-03-25 2005-11-03 Tokyo Electron Limited Method and processing system for plasma-enhanced cleaning of system components
WO2009155028A1 (en) * 2008-06-19 2009-12-23 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100465877B1 (en) * 2002-08-23 2005-01-13 삼성전자주식회사 Etching apparatus of semiconductor
US20040055708A1 (en) * 2002-09-24 2004-03-25 Infineon Technologies Richmond, Lp Apparatus and method for in-situ cleaning of borosilicate (BSG) and borophosphosilicate (BPSG) films from CVD chambers
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
JP2004335789A (en) * 2003-05-08 2004-11-25 Tadahiro Omi Cleaning method of substrate processing equipment
JP2005033173A (en) * 2003-06-16 2005-02-03 Renesas Technology Corp Method for manufacturing semiconductor integrated circuit device
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
KR100584781B1 (en) * 2004-12-02 2006-05-29 삼성전자주식회사 Method of manufacturing a semiconductor device and method of manufacturing a thin film layer using the same
JP2006319041A (en) * 2005-05-11 2006-11-24 Tokyo Electron Ltd Plasma cleaning method and method for forming film
KR100737716B1 (en) * 2005-05-26 2007-07-10 주식회사 에이디피엔지니어링 Apparatus for processing substrate with plasma
KR100712529B1 (en) * 2005-09-02 2007-04-30 삼성전자주식회사 Method of in-situ cleaning plasma applicator and plasma applicator adapting the same cleaning method
CN101292059A (en) * 2005-10-17 2008-10-22 Oc欧瑞康巴尔斯公司 Cleaning means for large area pecvd devices using a remote plasma source
US7942970B2 (en) * 2005-12-20 2011-05-17 Momentive Performance Materials Inc. Apparatus for making crystalline composition
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
KR100765128B1 (en) * 2006-05-30 2007-10-11 주식회사 아토 Apparatus for cleaning of chemical vaporization deposition chamber and method of cleaning the same
BRPI0803774B1 (en) * 2008-06-11 2018-09-11 Univ Federal De Santa Catarina Ufsc process and plasma reactor for treatment of metal parts
JP5431901B2 (en) * 2008-12-26 2014-03-05 キヤノンアネルバ株式会社 Inline vacuum processing apparatus, control method for inline vacuum processing apparatus, and method for manufacturing information recording medium
KR101126769B1 (en) * 2009-09-22 2012-03-29 삼성에스디아이 주식회사 Lamp Heater including a plurality of three phase power source lamp and Drying Apparatus for Secondary batter using the same
WO2011141986A1 (en) * 2010-05-10 2011-11-17 株式会社アルバック Plasma film formation device and film formation method
JP2013030696A (en) * 2011-07-29 2013-02-07 Ulvac Japan Ltd Plasma etching device and plasma cleaning method
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
KR102040090B1 (en) 2015-02-24 2019-11-28 에이피시스템 주식회사 Substrate processing apparatus, Method for cleaning and operating the same
US9767996B2 (en) * 2015-08-21 2017-09-19 Lam Research Corporation Application of powered electrostatic faraday shield to recondition dielectric window in ICP plasmas
JP2023045093A (en) * 2021-09-21 2023-04-03 東京エレクトロン株式会社 Device for performing plasma processing, and method for performing plasma processing

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
US5803974A (en) * 1985-09-26 1998-09-08 Canon Kabushiki Kaisha Chemical vapor deposition apparatus

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5432184A (en) * 1977-08-18 1979-03-09 Toshiba Corp Forming apparatus for nitride coating
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
JP3768575B2 (en) * 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド CVD apparatus and chamber cleaning method
JP4317608B2 (en) * 1999-01-18 2009-08-19 東京エレクトロン株式会社 Deposition equipment
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5803974A (en) * 1985-09-26 1998-09-08 Canon Kabushiki Kaisha Chemical vapor deposition apparatus
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
DATABASE WPI Section Ch, Week 197916 Derwent Publications Ltd., London, GB; Class L03, AN 1979-30514B XP002189339 -& JP 54 032184 A (TOKYO SHIBAURA ELECTRIC CO), 9 March 1979 (1979-03-09) *

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2002078073A1 (en) * 2001-03-22 2002-10-03 Research Institute Of Innovative Technology For The Earth Method of cleaning cvd device and cleaning device therefor
US6935351B2 (en) 2001-03-22 2005-08-30 Anelva Corporation Method of cleaning CVD device and cleaning device therefor
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
WO2004066365A2 (en) * 2003-01-16 2004-08-05 Applied Materials, Inc. Cleaning of cvd chambers using remote source with cxfyoz based chemistry
WO2004066365A3 (en) * 2003-01-16 2004-11-04 Applied Materials Inc Cleaning of cvd chambers using remote source with cxfyoz based chemistry
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
WO2005104186A2 (en) * 2004-03-25 2005-11-03 Tokyo Electron Limited Method and processing system for plasma-enhanced cleaning of system components
WO2005104186A3 (en) * 2004-03-25 2006-08-17 Tokyo Electron Ltd Method and processing system for plasma-enhanced cleaning of system components
WO2009155028A1 (en) * 2008-06-19 2009-12-23 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US8591699B2 (en) 2008-06-19 2013-11-26 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US9206511B2 (en) 2008-06-19 2015-12-08 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
US10094486B2 (en) 2008-06-19 2018-10-09 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber

Also Published As

Publication number Publication date
KR20030019912A (en) 2003-03-07
JP2002057106A (en) 2002-02-22
US20040065344A1 (en) 2004-04-08
TW550674B (en) 2003-09-01
KR100554643B1 (en) 2006-02-24
WO2002012587A3 (en) 2003-03-20

Similar Documents

Publication Publication Date Title
US20040065344A1 (en) Processing apparatus and cleaning method
TWI674617B (en) Method for performing plasma treatment process after plasma cleaning process
CN100577865C (en) High-power dielectric drying for realizing wafer-to-wafer thickness uniformity of dielectric CVD films
US6079426A (en) Method and apparatus for determining the endpoint in a plasma cleaning process
JP4382750B2 (en) CVD method for forming a silicon nitride film on a substrate to be processed
US9911620B2 (en) Method for achieving ultra-high selectivity while etching silicon nitride
US5158644A (en) Reactor chamber self-cleaning process
TWI434334B (en) Plasma cvd apparatus
US7718004B2 (en) Gas-introducing system and plasma CVD apparatus
US6060397A (en) Gas chemistry for improved in-situ cleaning of residue for a CVD apparatus
EP1122766B1 (en) Method and apparatus for enhanced chamber cleaning
US8394231B2 (en) Plasma process device and plasma process method
JP2006121073A (en) End point detector and particle monitor
CN108735596B (en) Method for processing object to be processed
JP2003163208A (en) Plasma cvd system and method for performing self cleaning
JP2001274105A (en) Semiconductor processing apparatus having remote plasma source for self-cleaning
KR20010085502A (en) A Thin-film Forming Apparatus having an Automatic Cleaning Function for Cleaning the Inside
US6277235B1 (en) In situ plasma clean gas injection
KR100239282B1 (en) Reactor chamber self-cleaning process
KR20170085986A (en) Rps defect reduction by cyclic clean induced rps cooling
KR20210158823A (en) Showerhead for process tool
KR20010104260A (en) Gas reactions to eliminate contaminates in a cvd chamber
US20010029895A1 (en) Ceramic heater device and film forming device using the same
CN111719137A (en) Method for cleaning film forming apparatus
JP2006319042A (en) Plasma cleaning method and method for forming film

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): KR US

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): AT BE CH CY DE DK ES FI FR GB GR IE IT LU MC NL PT SE TR

121 Ep: the epo has been informed by wipo that ep was designated in this application
DFPE Request for preliminary examination filed prior to expiration of 19th month from priority date (pct application filed before 20040101)
WWE Wipo information: entry into national phase

Ref document number: 1020037001839

Country of ref document: KR

WWP Wipo information: published in national office

Ref document number: 1020037001839

Country of ref document: KR

122 Ep: pct application non-entry in european phase
WWE Wipo information: entry into national phase

Ref document number: 10344042

Country of ref document: US

WWG Wipo information: grant in national office

Ref document number: 1020037001839

Country of ref document: KR