TW550674B - Processing apparatus and a cleaning method - Google Patents

Processing apparatus and a cleaning method Download PDF

Info

Publication number
TW550674B
TW550674B TW090119318A TW90119318A TW550674B TW 550674 B TW550674 B TW 550674B TW 090119318 A TW090119318 A TW 090119318A TW 90119318 A TW90119318 A TW 90119318A TW 550674 B TW550674 B TW 550674B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
gas
cleaning
processing device
plasma
Prior art date
Application number
TW090119318A
Other languages
Chinese (zh)
Inventor
Shinsuke Oka
Osamu Yokoyama
Risa Nakase
Shuuichi Ishizuka
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Application granted granted Critical
Publication of TW550674B publication Critical patent/TW550674B/en

Links

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Analytical Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Epidemiology (AREA)
  • Public Health (AREA)
  • Optics & Photonics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

Provided is a parallel-plate-type processing apparatus, which performs plasma CVD and includes a chamber to be cleaned. To perform cleaning of the chamber, plasma of a gas including fluorine is generated outside the chamber, and supplied into the chamber. During the cleaning, an RF power is applied to an electrode plates inside the chamber.

Description

550674 A7 _____ B7 五、發明説明(彳) ' 1 娜 技術範疇 本發明與一種處理裝置及清潔方法有關,其中可進行有 效率的清潔。 背景技藝 目前已使用各種CVD(化學氣相沉積)裝置製造電子設備 ,例如半導體設備、LCD(液晶顯示器)設備等等。電漿CVD 裝置被廣泛用於生成高品質的膜。 電漿CVD裝置使用CVD法,在一包含於降壓反應室内的 一半導體晶圓上生成一膜。CVD法運用一氣相反應。因此 ,膜不僅在晶圓表面生成,也在反應室構件的表面(内壁等) 上生成。如此生成的膜會產生粒子,並因此降低產品的良 率。在此種情況下,需要定期清潔反應室内部,以除去在 反應室構件上生成的膜。 有一種眾所周知,用來清潔反應室内部的方法,乃是現 場電漿清潔法,其中將一清潔用氣體引入反應室内,並從 反應室内的氣體產生電漿。然而電漿是在反應室内產生, 故反應室構件可能會劣化。 已有人提議採用遠端電漿清潔法。在此遠端電漿清潔法 中,在反應室外產生清潔用氣體的電漿,並將產生的電漿 引入反應室内,以清潔反應室内部。使用此種遠端電漿清 潔法,反應室構件就不大可能會劣化。此種遠端電漿清潔 法揭示於未審核曰本專利申請KOKAI出版品第H9-69504號 (美國優先權專利申請第08/278605號)中。 返端電聚清潔法有一問題,亦即它需要相當長的清潔時 -4- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 550674 A7 _ ____B7 iT、發明説明(2 ^ "" 間。在遠端電漿清潔法中,電漿氣體是從一點或兩點引入 反應室内,因此並不會均勻地清潔反應室的内部。使用遠 端電梁清潔法’要花費很長的時間,才能完全清潔反應室 内部,造成部分的反應室構件因爲過度清潔而劣化。 因此,在傳統CVD裝置中,並不能高效率地清潔反應室 ,且無法充份地得到產品的高良率。 發明揭示 考慮以上所述,而完成了本發明。因此,本發明的目標 之一爲提供一種處理裝置及一種清潔方法,藉此可進行高 效率的清潔。 爲達成上述目標’根據本發明的第一特點,提供一種處 理裝置,其包含:一反應室;一氣體來源,用於供應清潔 該反應室内邶的氣體;一氣體管線,用於將該氣體來源供 應的氣體引入該反應室;一活化器,備於該氣體管線内, 並活化遠氣體來源供應的氣體;以及至少三個氣<1#入口, 備於該反應室的側壁,並連接至該氣體管線。 爲達成上述目標’根據本發明的第二特點,提供一種用 於清潔一處理裝置的方法(此裝置的反應室内包含兩具電 極),該方法包含下列步驟:將清潔用氣體引入該反應室内 :以及對兩電極均施加一 RF電源,而活化清潔用氣體。 圖示簡述 圖1爲一圖形,顯示根據本發明第一具體實施例之處理裝 置的結構。 圖2爲一圖形,顯示使用圖1之處理裝置所得的清潔效果。 -5 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 550674 A7 B7 五、發明説明(3 ) 圖3爲一圖形,顯示使用圖1之處理裝置所得的清潔效果。 圖4爲一圖形,顯示根據本發明第二具體實施例之處理裝 置的結構。 圖5爲一橫截面圖,顯示圖4的處理裝置。 圖6爲一圖形,顯示使用圖4之處理裝置所得的清潔效果 〇 圖7爲一圖形,顯示使用圖4之處理裝置所得的清潔效果 〇 圖8爲一圖形,顯示根據本發明第三具體實施例之處理裝 置的結構。 圖9爲一圖形,顯示使用圖8之處理裝置所得的清潔效果 〇 圖10爲一圖形,顯示一做爲比較性範例的處理裝置。 圖1 1爲一圖形,顯示一包含於根據第四具體實施例之處 理裝置的蓋構件。 圖12爲一圖形,顯示使用根據第四具體實施例之處理裝 置所得的清潔效果。 圖13爲一圖形,顯示一包含於、處理裝置(根據第四具體實 施例)之蓋構件的變型。 圖14爲一圖形,顯示使用第四具體實施例之處理裝置所 得進一步的清潔效果。 圖1 5爲一圖形,顯示一做爲比較性範例的蓋構件。 圖1 6爲一圖形,顯示根據本發明第五具體實施例之處理 裝置的結構。 -6 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 籲 裝 訂550674 A7 _____ B7 V. Description of the invention (彳) '1 Na Technical category The present invention relates to a processing device and a cleaning method, in which efficient cleaning can be performed. BACKGROUND ART Various CVD (chemical vapor deposition) devices have been used to manufacture electronic devices such as semiconductor devices, LCD (liquid crystal display) devices, and the like. Plasma CVD devices are widely used to produce high-quality films. The plasma CVD apparatus uses a CVD method to form a film on a semiconductor wafer contained in a step-down reaction chamber. The CVD method uses a gas phase reaction. Therefore, a film is formed not only on the surface of the wafer, but also on the surface (inner wall, etc.) of a reaction chamber member. The thus-produced film generates particles and thus reduces the yield of the product. In this case, it is necessary to periodically clean the inside of the reaction chamber to remove the film formed on the reaction chamber member. A well-known method for cleaning the inside of a reaction chamber is a field plasma cleaning method in which a cleaning gas is introduced into the reaction chamber and a plasma is generated from the gas in the reaction chamber. However, the plasma is generated in the reaction chamber, so the components of the reaction chamber may be deteriorated. A remote plasma cleaning method has been proposed. In this remote plasma cleaning method, a plasma of a cleaning gas is generated outside the reaction chamber, and the generated plasma is introduced into the reaction chamber to clean the inside of the reaction chamber. With this remote plasma cleaning method, the reaction chamber components are unlikely to deteriorate. This remote plasma cleaning method is disclosed in Unexamined Japanese Patent Application KOKAI Publication No. H9-69504 (U.S. Priority Patent Application No. 08/278605). There is a problem with the back-end electropolymer cleaning method, that is, it requires a relatively long cleaning time. -4- This paper size applies to China National Standard (CNS) A4 specifications (210 X 297 mm) 550674 A7 _ ____B7 iT, description of the invention (2 ^ "In the remote plasma cleaning method, the plasma gas is introduced into the reaction chamber from one or two points, so the interior of the reaction chamber will not be uniformly cleaned. Using the remote beam cleaning method 'requires It takes a long time to completely clean the inside of the reaction chamber, causing some of the reaction chamber components to deteriorate due to excessive cleaning. Therefore, in the conventional CVD apparatus, the reaction chamber cannot be cleaned efficiently, and the product cannot be fully obtained. High yield. Disclosure of the invention The present invention has been completed in consideration of the above. Therefore, one of the objects of the present invention is to provide a processing device and a cleaning method, thereby enabling efficient cleaning. A first feature of the invention is to provide a processing device comprising: a reaction chamber; a gas source for supplying a gas for cleaning the radon in the reaction chamber; a gas line, For introducing the gas supplied from the gas source into the reaction chamber; an activator provided in the gas pipeline and activating the gas supplied from a remote gas source; and at least three gas < 1 # inlets provided in the reaction chamber In order to achieve the above-mentioned object, according to the second feature of the present invention, a method for cleaning a processing device (the reaction chamber of the device includes two electrodes) is provided. The method includes the following steps: : Introducing a cleaning gas into the reaction chamber: and applying an RF power source to both electrodes to activate the cleaning gas. Brief Description of the Drawings Figure 1 is a diagram showing the structure of a processing device according to a first embodiment of the present invention Figure 2 is a graph showing the cleaning effect obtained by using the processing device of Figure 1. -5-This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 mm) 550674 A7 B7 V. Description of the invention (3 Figure 3 is a graphic showing the cleaning effect obtained by using the processing device of Figure 1. Figure 4 is a graphic showing the structure of the processing device according to the second embodiment of the present invention Figure 5 is a cross-sectional view showing the processing device of Figure 4. Figure 6 is a graphic showing the cleaning effect obtained using the processing device of Figure 4 Figure 7 is a graphic showing the cleaning effect obtained using the processing device of Figure 4 〇 FIG. 8 is a graph showing the structure of the processing apparatus according to the third embodiment of the present invention. FIG. 9 is a graph showing the cleaning effect obtained by using the processing apparatus of FIG. 8. Comparative Example Processing Apparatus. Fig. 11 is a graph showing a cover member included in the processing apparatus according to the fourth embodiment. Fig. 12 is a graph showing the use of the processing apparatus according to the fourth embodiment. Cleaning effect. Fig. 13 is a diagram showing a modification of the cover member included in the processing device (according to the fourth embodiment). Fig. 14 is a graph showing a further cleaning effect using the processing apparatus of the fourth embodiment. FIG. 15 is a diagram showing a cover member as a comparative example. Fig. 16 is a diagram showing the structure of a processing apparatus according to a fifth embodiment of the present invention. -6-This paper size is in accordance with China National Standard (CNS) A4 (210 X 297 mm). Binding

k 550674 A7 B7k 550674 A7 B7

五、發明説明(6 各冷滚劑室丨4。承載器12與承載器12上之晶圓的溫度由冷 凍劑調節。請注意此處的冷凍劑是指溫度控制媒介=。7 承載器12經由第一匹配盒15連接到第一 RF電源丨6。第一 RF電源16的一端接地,因此可將一111?電壓施加於承載 〇 -電極板17固定於反應室U頂端的電極支撑架^上。泰 極板17面對著承載器12 ’且與它平行。電極板係由一導: 構成’例如銘。電極板17周圍的下方備有一保護環Μ,: 環會保護電極板17固定於電極支撑架18的部分。 電極板17經由第二匹配盒20連接到第二rf電源η。第二 RF電源2i的-端接地,故有_RF電壓施加於電極板η。: 此,電極板17和承載器12的功能分別爲平行板型電漿CM 裝置的上方電極和下方電極。 反應室"的上方部分上備有清潔用氣體入口導管22和製 程^體入π導管23。清潔用氣體人σ導管U連接到清潔用 氣骨豆首線U’俾使-清潔用氣體經由清潔用氣體人口導管 出:入反應㈣内。製程氣體入口導管。連接到製程氣體 官線L2’俾使-製程氣體經由製程氣體人口導管U引入反 應玄1 1 Λ。 電極支撑架18包含-擴散部分,例如-中空區域,用於 擴散製程風體。電極板17上有許多貫穿電極板17的孔i7a 。被擴散邵分擴散的清潔用氣體和製程氣體經由電極板i7 的泮多個孔1 7a輸送至晶圓w。 口 24。排氣口 24連接到 反應室1 1的底部備有一環形排氣 -9 -V. Description of the invention (6 Cold Roller Chambers) 4. The temperature of the carrier 12 and the wafers on the carrier 12 are adjusted by the refrigerant. Please note that the refrigerant here refers to the temperature control medium =. 7 The carrier 12 It is connected to the first RF power source 6 through the first matching box 15. One end of the first RF power source 16 is grounded, so a 111? Voltage can be applied to the electrode support bracket carrying the 0-electrode plate 17 fixed to the top of the reaction chamber U Up. Thai pole plate 17 faces the carrier 12 'and is parallel to it. The electrode plate is formed by a guide: "e.g. the inscription. A protective ring M is provided around the electrode plate 17 below. The ring will protect the electrode plate 17 and fix it. On the electrode support frame 18. The electrode plate 17 is connected to the second rf power source η via the second matching box 20. The-terminal of the second RF power source 2i is grounded, so _RF voltage is applied to the electrode plate n. The functions of the plate 17 and the carrier 12 are respectively the upper electrode and the lower electrode of the parallel plate type plasma CM device. The upper part of the reaction chamber "is provided with a cleaning gas inlet conduit 22 and a process inlet π conduit 23. Cleaning Connected with gas human sigma conduit U to the cleaned gas bone bean head line U '俾-The cleaning gas exits through the cleaning gas population duct: into the reaction chamber. The process gas inlet duct. Connects to the process gas official line L2 '. The process gas is introduced into the reaction chamber 1 through the process gas duct U. Electrode support The rack 18 includes a diffusion portion, for example, a hollow area for diffusing the process air body. The electrode plate 17 has a plurality of holes i7a penetrating through the electrode plate 17. The cleaning gas and the process gas diffused by the diffusion plate pass through the electrode plate i7.泮 A plurality of holes 17a are conveyed to the wafer w. Port 24. The exhaust port 24 is connected to the bottom of the reaction chamber 1 1 and is provided with a circular exhaust -9-

550674 A7 B7 五、發明説明(7 ) 廢氣ί線L3。廢氣管線L3連接到一TMP(滿輪分子泵浦)25 ΤΜΡ 2 5的下游備有一乾燥泵浦,故反應室1 1可降壓爲眞 -狀態。ΤΜΡ 25與反應室11之間備有一APC(自動壓力控制 器)26。APC 26將反應室11控制於一預定的壓力位準。 系統控制器100完全控制處理裝置10,包括在處理裝置1〇 内執行的膜生成製程和清潔過程。 現在將參照圖丨,解釋由第一具體實施例構成的處理裝置 10執行的膜生成製程和清潔過程。以下將解釋包含於上述 製程的這些程序,且僅供説明性目的之用,本發明並不限 於這些程序。 晶圓w被載入反應室u,並放在承載器12上。靜電夹頭 將晶圓W固定在該處。系統控制器100打開閥VE,以供應 〇2,並對上方電極(電極板17)施加一 RF電源。隨後,系統 控制咨100打開閥VC、VD、VF,以SiF4、SiH4、Ar供應反 應室U,並對下方電極(承載器12)施加一電壓。氣體的電、 漿由此產生,Si0F膜的生成反應則在晶圓w的表面上進行 〇 晶圓W上生成的Si0F具備了預定的厚度,或經過一預定 的時間之後,系統控制器100停止對下方電極施加RF電源 ,並關閉閥VC、VD、VF,俾停止以SiF4、SiH4、Ar供應反 應i: π。然後靜電夾頭被放開。系統控制器1〇〇關閉閥ve ,以停止供應〇2,並停止對上方電極施加RF電源。然後晶 圓W從反應室11載出,而完成膜的生成製程。 對預定數量的晶圓W執行上述的膜生成製程之後,系統 -10- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公爱) 550674 A7 B7 五、發明説明(8 ) 控制器100開始清潔反應室11。 一清潔用的假晶圓W被載入反應室1 1,並放在承載器12 上。靜電夾頭將放在承載器12上的假晶圓W固定住。然後 系統控制器100打開閥VA和VB,並NF3和Ar供應反應室u 以NF3/Ar= 500/500 (sccm/sccm)的速率,將清潔用氣體 供應至反應室11内。APC 26將反應室1 1内的壓力設定爲13 巴。 如此供應清潔用氣體後,系統控制器1 〇〇開始對上方和下 方電極施加一 RF電源,以開始清潔過程。請注意,對上方 電極施加大約1 500瓦的RF電源,對下方電極則施加大約 500瓦的RF電源。對清潔用氣體施加rf電源時,會產生該 氣體的電漿,尤其是含由氟原子基者。NF3電漿(主要含有 氟原子基)與沉積於反應室11内部的Si〇F起反應,如以下方 考王式所解釋。如下列方程式所示,Si〇F被分解,成爲、, 諸如S i Η 4等氣體而排出。 …550674 A7 B7 V. Description of the invention (7) Exhaust gas line L3. The exhaust gas line L3 is connected to a TMP (full-round molecular pump) 25 TMP 25 and a dry pump is provided downstream, so the reaction chamber 11 can be depressurized to a 眞 -state. An APC (Automatic Pressure Controller) 26 is provided between the TMP 25 and the reaction chamber 11. The APC 26 controls the reaction chamber 11 to a predetermined pressure level. The system controller 100 completely controls the processing apparatus 10, including a film generation process and a cleaning process performed in the processing apparatus 10. The film generation process and the cleaning process performed by the processing apparatus 10 constituted by the first specific embodiment will now be explained with reference to FIG. The procedures included in the above processes are explained below and are for illustrative purposes only, and the present invention is not limited to these procedures. The wafer w is loaded into the reaction chamber u and placed on the carrier 12. The electrostatic chuck holds the wafer W there. The system controller 100 opens the valve VE to supply O2, and applies an RF power to the upper electrode (electrode plate 17). Subsequently, the system controller 100 opens the valves VC, VD, and VF, supplies the reaction chamber U with SiF4, SiH4, and Ar, and applies a voltage to the lower electrode (carrier 12). Gas electricity and slurry are generated, and the Si0F film formation reaction is performed on the surface of the wafer w. The Si0F generated on the wafer W has a predetermined thickness, or after a predetermined time, the system controller 100 stops. Apply RF power to the lower electrode, close the valves VC, VD, and VF, and then stop supplying reaction i: π with SiF4, SiH4, and Ar. The electrostatic chuck is then released. The system controller 100 closes the valve ve to stop the supply 02, and stops applying RF power to the upper electrode. The crystal circle W is then carried out from the reaction chamber 11 to complete the film formation process. After the above-mentioned film generation process is performed on a predetermined number of wafers W, the system-10- this paper size applies Chinese National Standard (CNS) A4 specifications (210X 297 public love) 550674 A7 B7 V. Description of the invention (8) Controller 100 Cleaning of the reaction chamber 11 is started. A dummy wafer W for cleaning is loaded into the reaction chamber 11 and placed on the carrier 12. The electrostatic chuck holds the dummy wafer W placed on the carrier 12. Then, the system controller 100 opens the valves VA and VB, and supplies the reaction chamber u with NF3 and Ar to supply the cleaning gas into the reaction chamber 11 at a rate of NF3 / Ar = 500/500 (sccm / sccm). The APC 26 sets the pressure in the reaction chamber 11 to 13 bar. After the cleaning gas is supplied in this way, the system controller 1000 starts to apply an RF power to the upper and lower electrodes to start the cleaning process. Note that approximately 1,500 watts of RF power is applied to the upper electrode and approximately 500 watts of RF power is applied to the lower electrode. When rf power is applied to a cleaning gas, a plasma of the gas is generated, especially those containing a fluorine atom. The NF3 plasma (mainly containing a fluorine atom group) reacts with SiOF deposited inside the reaction chamber 11 as explained in the following test method. As shown in the following equation, SiOF is decomposed into a gas such as S i Η 4 and discharged. ...

SiOF + NF3—SiF4个 + 1/202个 + 1/2Ν2 个 系統控制器100監視在清潔過程期間所產生之電漿(例 氧的電漿)的光放射,並偵測清潔過程的終點。如以上、 ,SlOF分解的同時會產生〇2,且在清潔過程中,的量= 改變。也就是i,系統㈣器100可根據放射強度監視;二 量,而偵測清潔過程的終點。請注意,清潔過程的終I 藉由任何方法(例如偵測反應室内的壓力等方法)來偵叫可 -11 -SiOF + NF3—SiF 4 + 1/202 + 1 / 2N2 The system controller 100 monitors the light emission of the plasma (eg, oxygen plasma) generated during the cleaning process, and detects the end of the cleaning process. As above, when the SlOF is decomposed, it will produce 〇2, and in the cleaning process, the amount = changes. That is, i, the system implement 100 can monitor the intensity of the radiation; two, and detect the end of the cleaning process. Please note that the end of the cleaning process can be detected by any method (such as detecting the pressure in the reaction chamber). -11-

550674550674

到達清潔過程的終點時,系統控制器丨〇〇會停止對上方和 下方電極施加一 RF電源。隨後,系統控制器1〇〇會開啓閥 VE和VF,以〇2和Ar供應反應室丨丨,並開始對上方電極施加 一 RF電源。之後,系統控制器1〇〇停止以&供應反應室η ,並停止對上方電極施加RF電源。系統控制器1〇〇^止以 〇2供應反應室11,並放開靜電夬頭。然後假晶圓w從反應 室11載出,而完成清潔過程。 範例1 圖2和3顯示在膜生成製程之後,使用根據本發明第一具 體貫施例之電漿處理裝置1 〇達成的清潔過程結果。 在此範例1中,在膜生成期間,在晶圓w上與電極之間的 距離爲50公釐之處,生成了厚度爲5微米的3丨〇]?膜。此外, 在清潔過程期間,系統控制器1〇〇以NF3/Ar = 5〇〇/5〇〇 (sccm/sccm)供應反應室U,壓力爲13巴,且對上方電極 (電極板17)施加1 5 0 0瓦的RF電源。 圖2顯示使用第一具體實施例構成的處理裝置執行清潔 過程時,在對上方和下方電極施加RF電源的情況下,清潔 時間與施加RF電源之間的關係Ό如圖2所見,相較於只對 上方電極施加RF電源的情況,顯然對上方和下方電極均施 加RF電源的處理裝置,可在較短的時間内達成清潔。在對 下方電極施加300瓦和500瓦RF電源的情況下,清潔時間分 別縮短爲76分鐘和70分鐘。因此,本具體實施例所構成的 處理裝置執行清潔過程時,不僅對上方電極施加11?電源, 也對下方電極施加,在此種裝置中可得到高清潔速率,因 -12- 本紙張尺度適用中國國家標準(CNS) Α4規格(210 X 297公釐) 550674 A7 ___B7 ___ 五、發明説明(U ) 氣體,以產生該氣體的電漿。在清潔用氣體的電漿中,從 NF3產生的氟原子基從活化器27被選擇性地放出。 如圖5所不’分贫的清潔用氣體管線L4連接到備於反應室 1 1側壁處的兩個清潔用氣體入口 28。兩個清潔用氣體入口 28在反應罜11的内壁處彼此相對。從活化器27放出的清潔 用氣體電漿經由兩個清潔用氣體入口 28被引入反應室丨i内 〇 現在將參照圖4和5,解釋根據第二具體實施例之處理裝 置10的操作。現在將藉由範例來描述下列操作,而本發明 並不限於以下所述。 在一預足數量的晶圓W上生成膜之後,系統控制器i 〇〇開 始反應室11的清潔過程。 一清潔用的假晶圓W被載入反應室1丨,並放在承載器J 2 上。靜電夾頭將承載器12上的假晶圓w固定住。隨後,系 統控制器100打開閥VA與VB,並以NF3和Ar供應反應室η 〇 清’糸用氣體係以NF3/Ar = 500/500 (sccm/sccm)的比例供 應至反應室11内。APC 26將清潔過程期間反應室丨丨内的壓 力保持於100巴到400巴之間的範圍。 NF3氣體和Ar氣體供應至反應室11之後,系統控制器1 〇〇 啓動活化器27。活化器27將供應至其中的氣體活化,以產 生该氣體的電漿’然後將電漿(主要含有氟原子基)釋放到 反應室Π。主要含有氟原子基的清潔用氣體,將殘留且附 著於反應室11内部的SiOF膜分解成SiF4等,俾從該處排出 -14- 本紙張尺度適用中國國家標準(CNS) A4規格(210X297公釐) 550674 A7 B7 五、發明説明(12 Q此用’絜過权即如此進行,沉積於反應室1 1内部的Si〇F 月美即被除去。 、备系統k制备1 00根據氧的放射強度,斷定清潔過程已完 成時,會關閉活化器27。再者,系統控制器100會關閉閥 VA與VB,停止以清潔用氣體供應反應室丨丨。之後,系統控 器100會打開閥VE與VF,將…和^供應至反應室丨丨内。隨 後,系統控制器1〇〇會放開靜電夾頭,並停止將〇2和Ar供應 至反應1: 1 1内。之後,假晶圓w從反應室丨丨載出,而完成 清潔過程。 範例2 ' 圖6顯示清潔時間與反應室丨丨内部壓力之間的關係,並顯 示使用根據本發明第二具體實施例的處理裝置,在膜生成 之後進行清潔過程的一些結果。在範例2中,在生成膜的製 程期間,在晶圓W上與電極之間的距離爲5 〇公釐之處,生 成厚度爲5微米的SiOF膜。 圖6顯示使用各種壓力位準的清潔結果。如圖6所見,相 較於反應室11内部的壓力爲大約〇巴的高眞空狀態的情況 ,若壓力在1 00巴到400巴的範圍之内,則可得到高清潔速 率。也請注意在反應室1 1内部的壓力大約爲200巴的情況下 ,可得到最高的清潔速率。根據此實施例2,清潔係在室1 1 内以100〜400 Pa之壓力範圍進行,清潔可令人期。 範例3 在以上所描述的第二具體實施例中,可對上方電極施加 一 RF電源。這樣可以實現在反應室1 1外活化的清潔用氣體 -15- 本紙張尺度適用中國國家標準(CNS) A4規格(210X 297公爱)When the end of the cleaning process is reached, the system controller will stop applying an RF power to the upper and lower electrodes. Subsequently, the system controller 100 will open the valves VE and VF, supply the reaction chamber with 02 and Ar, and start to apply an RF power to the upper electrode. After that, the system controller 100 stops supplying the reaction chamber η, and stops applying the RF power to the upper electrode. The system controller 100 to 100 supplies the reaction chamber 11 and releases the electrostatic hoe. The dummy wafer w is then carried out from the reaction chamber 11 to complete the cleaning process. Example 1 Figures 2 and 3 show the results of a cleaning process using a plasma processing apparatus 10 according to the first specific embodiment of the present invention after the film formation process. In this example 1, during the film formation, a distance of 50 mm from the electrode on the wafer w was 50 mm, and a 3 μ〇 film with a thickness of 5 μm was formed. In addition, during the cleaning process, the system controller 100 supplies the reaction chamber U at NF3 / Ar = 500/500 (sccm / sccm), the pressure is 13 bar, and the upper electrode (electrode plate 17) is applied 1 500 Watt RF power supply. FIG. 2 shows the relationship between the cleaning time and the application of RF power when the RF power is applied to the upper and lower electrodes when the cleaning process is performed using the processing device constructed in the first embodiment. As shown in FIG. 2, compared with When only RF power is applied to the upper electrode, it is clear that a processing device that applies RF power to both the upper and lower electrodes can achieve cleaning in a shorter time. With 300 watts and 500 watts of RF power applied to the lower electrode, the cleaning time was reduced to 76 minutes and 70 minutes, respectively. Therefore, when the processing device constituted by this specific embodiment performs a cleaning process, not only the 11? Power is applied to the upper electrode, but also the lower electrode, a high cleaning rate can be obtained in this device, because -12- this paper size is applicable China National Standard (CNS) A4 specification (210 X 297 mm) 550674 A7 ___B7 ___ V. Description of the invention (U) Gas to generate plasma for this gas. In the plasma of the cleaning gas, fluorine atomic groups generated from NF3 are selectively released from the activator 27. As shown in Fig. 5, the lean cleaning gas line L4 is connected to two cleaning gas inlets 28 provided at the side walls of the reaction chamber 11. Two cleaning gas inlets 28 face each other at the inner wall of the reaction chamber 11. The cleaning gas plasma discharged from the activator 27 is introduced into the reaction chamber through two cleaning gas inlets 28. The operation of the processing apparatus 10 according to the second embodiment will now be explained with reference to Figs. 4 and 5. The following operation will now be described by way of example, and the present invention is not limited to the following. After a film is formed on a pre- sufficient number of wafers W, the system controller 100 starts the cleaning process of the reaction chamber 11. A cleaning dummy wafer W is loaded into the reaction chamber 1 丨 and placed on the carrier J 2. The electrostatic chuck holds the dummy wafer w on the carrier 12. Subsequently, the system controller 100 opens the valves VA and VB and supplies the reaction chamber η 3 with NF3 and Ar. The gas system is supplied to the reaction chamber 11 at a ratio of NF3 / Ar = 500/500 (sccm / sccm). The APC 26 maintains the pressure in the reaction chamber during the cleaning process in a range between 100 bar and 400 bar. After the NF3 gas and the Ar gas are supplied to the reaction chamber 11, the system controller 1000 starts the activator 27. The activator 27 activates the gas supplied thereto to generate a plasma ' of the gas, and then releases the plasma (mainly containing a fluorine atom group) to the reaction chamber Π. The cleaning gas mainly containing a fluorine atom group decomposes the SiOF film remaining and attached to the inside of the reaction chamber 11 into SiF4, etc., and discharges it from there. (%) 550674 A7 B7 V. Description of the invention (12 Q This is done by using the “絜” right, and the Si0F Yuemei deposited in the reaction chamber 11 is removed. The preparation system k prepares 100 according to the radiation intensity of oxygen. When it is determined that the cleaning process has been completed, the activator 27 will be closed. Furthermore, the system controller 100 will close the valves VA and VB, and stop supplying the cleaning gas to the reaction chamber. After that, the system controller 100 will open the valve VE and VF, supply ... and ^ into the reaction chamber. Subsequently, the system controller 100 will release the electrostatic chuck and stop supplying 〇2 and Ar into the reaction 1: 1. After that, the dummy wafer w is carried out from the reaction chamber 丨 丨 to complete the cleaning process. Example 2 ′ Figure 6 shows the relationship between the cleaning time and the internal pressure of the reaction chamber 丨 丨 and shows the use of a processing device according to a second specific embodiment of the present invention. After the film is formed, the cleaning process is performed. Some results. In Example 2, during the process of generating the film, a distance of 50 mm from the electrode on the wafer W and a thickness of 5 μm was generated. Figure 6 shows the use of various pressure levels As shown in Fig. 6, compared with the case where the pressure inside the reaction chamber 11 is about 0 bar, the high cleaning rate can be obtained if the pressure is in the range of 100 bar to 400 bar. Please also note that the highest cleaning rate can be obtained when the pressure inside the reaction chamber 11 is about 200 bar. According to this embodiment 2, the cleaning is performed within the pressure range of 100 ~ 400 Pa in the chamber 1 1. Cleanliness can be expected. Example 3 In the second embodiment described above, an RF power source can be applied to the upper electrode. This enables the cleaning gas to be activated outside the reaction chamber 1 1-15-This paper size is applicable China National Standard (CNS) A4 specification (210X 297 public love)

裝 訂Binding

線 550674 A7 B7 13 五、發明説明( (主要含有氟原子基)可在反應室丨丨内進一步被活化。根據 此種結構,可得到高清潔速率。 圖7顯tf在晶圓W上生成厚度爲5微米的si〇F膜之後執行 清潔過程的情況下,清潔時間與對上方電極施加的RF電源 之間的關係。在清潔過程中,對上方電極施加5〇〇瓦的 電源’反應室1 1内的壓力則爲2 〇 〇巴。 k圖7中顯然可見,若對上方電極施加RF電源,並使用 遠端電漿氣體來清潔反應室U,可在某一清潔時間内達成 /同凓過程,此時間比在不施加RF電源之情況下的清潔時間 的五分足一還短。因此,若對上方電極施加RF電源,以活 化反應室11中的清潔用氣體,則可進行具有高清潔速率的 清潔過程。 請 >王意,可在不僅對上方電極施加RF電源,也對下方電 極施加的情況下執行清潔過程。 第三具體實施例 根據本發明第三具體實施例的處理裝置包含一反應室。 在此反應室中,使用一電漿CVD法,在晶圓w上生成si〇F 膜,该法使用含有SiHU、SiF4和〇2的製程氣體。使用含有 NF3的清潔用氣體除去在膜生成製程之後,殘留且附著於反 應室11内部的SiOF膜。清潔用氣體係於反應室外活化,以 便使用。 根據本發明第三具體實施例的處理裝置,其結構與圖4 和5所示,由第二具體實施例構成的處理裝置相同。圖8顯 示根據第三具體實施例之處理裝置的結構。在圖8中,相同 16 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公I) 550674Line 550674 A7 B7 13 V. Description of the invention ((mainly containing fluorine atom group) can be further activated in the reaction chamber. According to this structure, a high cleaning rate can be obtained. Figure 7 shows the thickness of tf on the wafer W In the case of performing a cleaning process after a 5 μm SiOF film, the relationship between the cleaning time and the RF power applied to the upper electrode. During the cleaning process, a 500 watt power supply was applied to the upper electrode 'reaction chamber 1 The pressure in 1 is 2000 bar. It is obvious in Figure 7 that if RF power is applied to the upper electrode and the remote plasma gas is used to clean the reaction chamber U, it can be achieved / same within a certain cleaning time. This time is shorter than one-fifth of the cleaning time without applying an RF power source. Therefore, if RF power is applied to the upper electrode to activate the cleaning gas in the reaction chamber 11, it can be performed with a high Cleaning process at a cleaning rate. Please> Want to execute the cleaning process with RF power applied not only to the upper electrode, but also to the lower electrode. Third Specific Embodiment According to a third specific embodiment of the present invention The processing device includes a reaction chamber. In this reaction chamber, a plasma CVD method is used to generate a SiOF film on the wafer w, which uses a process gas containing SiHU, SiF4, and 〇2. The cleaning gas is removed from the SiOF film remaining in the reaction chamber 11 after the film formation process. The cleaning gas system is activated outside the reaction chamber for use. The processing device according to the third embodiment of the present invention has a structure and a diagram As shown in Figs. 4 and 5, the processing device constituted by the second embodiment is the same. Fig. 8 shows the structure of the processing device according to the third embodiment. In Fig. 8, the same 16 paper sizes are applicable to the Chinese National Standard (CNS) A4 size (210 X 297 male I) 550674

的元件係以與圖4相同的參考號碼來識別 如圖8所示’此具體實施例構成的處理裝㈣,在反應室 1的内壁處包含三個清潔用氣體人σ 28。三個清潔用氣體 入口 2 8分別連接到清潔用氣體管線L 4。清潔用氣體入口 2 8 係備於大約相等的間隔。經由每—個清潔用氣體入口”, 以大致上相同的供應壓力將清潔用氣體供應至反應室"内 範例4 圖9顯示第三具體實施例構成之處理裝置完成的膜生成 製程和«過程的結果。在圖9中’比較本具體實施例完成 的清潔效果。更特別言之’比較清潔用氣體由兩條路喷進 ’以及清潔用Λ體由三條路徑喷進反應室_$兩種狀況。 在生成膜的製程中,在晶圓1上與電極之間的距離爲5〇 公釐之處,生成了厚度爲5微米的以〇1?膜。在清潔過程中, 在^巴的壓力下’將叫/^^⑽紹嶋…咖^⑷的清-、 潔用氣體供應至反應室Π内,並通過反應室1 1。 在一實驗中,在反應室Π内的許多點上分別備有許多晶 片,各晶片上有氧化矽膜生成。清潔過程之後,測量各晶 片的氧化矽膜的厚度。根據所測得之氧化矽膜厚度的減少 ’計算反應室11内各點的清潔速率。 用來測量清潔速率的點係以符號I到V來識別,如圖8所示 。對於清潔用氣體從兩條路嘴出的處理裝置1 〇,在I至ν夂 點測量清潔速率。點I處的晶片放在承載器1 2上,其餘分別 在點II到V處的晶片則放在與承載器1 2幾乎相同的平面。 -17-The components are identified by the same reference numbers as in FIG. 4. As shown in FIG. 8 ′, the processing device constituted by this specific embodiment includes three cleaning gases σ 28 at the inner wall of the reaction chamber 1. The three cleaning gas inlets 2 8 are connected to the cleaning gas lines L 4, respectively. The cleaning gas inlets 2 8 are provided at approximately equal intervals. Through each of the cleaning gas inlets ", the cleaning gas is supplied to the reaction chamber at approximately the same supply pressure." Inner Example 4 "Fig. 9 shows a membrane formation process and a" process "performed by a processing device constituted by a third embodiment In Fig. 9, 'Comparison of the cleaning effect completed by this specific embodiment. More specifically,' Comparative cleaning gas is sprayed from two paths' and cleaning Λ body is sprayed from three paths into the reaction chamber. In the process of forming a film, a distance of 50 mm from the electrode on the wafer 1 and a thickness of 5 μm was formed. During the cleaning process, the Under pressure, will be called / ^^ 绍 嶋 嶋 ... ⑷ ^ ⑷ clean and clean gas is supplied into the reaction chamber Π, and passes through the reaction chamber 1 1. In an experiment, at many points in the reaction chamber Π A number of wafers are prepared, and a silicon oxide film is formed on each wafer. After the cleaning process, the thickness of the silicon oxide film of each wafer is measured. Based on the measured decrease in the thickness of the silicon oxide film, the cleaning of each point in the reaction chamber 11 is calculated Rate The point used to measure the rate of cleaning Identified by the symbols I to V, as shown in Fig. 8. For the processing device 10 for the cleaning gas coming out of the two nozzles, the cleaning rate is measured at points I to ν 夂. The wafer at point I is placed on the carrier 1. 2, the other wafers at points II to V are placed on the same plane as the carrier 12.

550674550674

如圖9所見,在清潔用氣體從兩點(兩條路)供應的情況下 ,點11(離清潔用氣體入口 28最遠)處的清潔速率比卜m、 I:和V中任何一點的清潔速率都低。於此範例3中,清潔氣 體係自三點(三個途徑)供應,點π之蝕刻速率幾或大 於,何其他點I、IΠ、IV及V之蝕刻速率。有馨於此,所以 在第三具體實施例構成的處理裝置1〇中,其反應室Η内含 有一個凊潔用氣體入口 2 8,可得到均勻的清潔速率,並可 以高效率執行清潔過程。 在以上所述的第三具體實施例中,三個清潔用氣體入口 2 8係備於反應室丨丨側壁上相等的間隔處。然而,清潔用氣 體入口 28亦可備於任何其他的間隔處。再者,清潔用氣體 入口 2 8的數目並不限於三個,亦可備有三個以上的清潔用 氣體口。 第四具體實施例 根據本發明第四具體實施例的處理裝置包含一反應室。 在此反應室中,使用一電漿CVD法,在晶圓上生成SiOF膜 ’該法運用含有SiH4、SiF4和〇2的製程氣體。使用含有NF3 的清潔用氣體除去在膜生成製程之後,殘留且附著於反應 室11内部的Si〇F膜。清潔用氣體係於反應室外活化,以便 使用。 根據本發明第三具體實施例的處理裝置,其結構與圖5 和8所示,由第三具體實施例構成的處理裝置相同。在由第 四具體實施例構成的處理裝置1 〇中,在三個清潔用氣體入 口 28上分別建造一蓋構件29,如圖11所示。在此結構中, -18- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)As shown in FIG. 9, when the cleaning gas is supplied from two points (two paths), the cleaning rate at point 11 (farthest from the cleaning gas inlet 28) is any one of m, I :, and V. The cleaning rates are low. In this example 3, the cleaning gas system is supplied from three points (three paths), and the etching rate of point π is about or greater than the etching rates of other points I, IΠ, IV, and V. Because of this, in the processing device 10 constructed in the third embodiment, the reaction chamber Η contains a cleaning gas inlet 28, which can obtain a uniform cleaning rate and perform the cleaning process with high efficiency. In the third embodiment described above, the three cleaning gas inlets 28 are provided at equal intervals on the side walls of the reaction chamber. However, the cleaning gas inlet 28 may be provided at any other compartment. The number of cleaning gas inlets 28 is not limited to three, and three or more cleaning gas inlets may be provided. Fourth Embodiment A processing apparatus according to a fourth embodiment of the present invention includes a reaction chamber. In this reaction chamber, a plasma CVD method is used to form a SiOF film on a wafer. This method uses a process gas containing SiH4, SiF4, and O2. The SiO3 film remaining in the reaction chamber 11 after the film formation process is removed by using a cleaning gas containing NF3. The cleaning gas system is activated outside the reaction chamber for use. The processing apparatus according to the third specific embodiment of the present invention has the same structure as the processing apparatus constituted by the third specific embodiment shown in FIGS. 5 and 8. In the processing apparatus 10 constituted by the fourth embodiment, a cover member 29 is constructed on each of the three cleaning gas inlets 28, as shown in FIG. In this structure, -18- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm)

裝 訂Binding

線 550674 A7Line 550674 A7

____ B7 ‘發明説明(16 ) /同潔用氣體經由蓋構件2 9被引入反應室11内。 如圖11所示,蓋構件29形成一長方形,且具有五個像狹 縫一般的開口 30。這五個開口 30彼此平行。蓋構件29的尺 寸大約與母一清潔用氣體入口 2 8的部份相同。清潔用氣體 經由開口 30供應至反應室11|t]。蓋構件29是由Al2〇3製成。 蓋構件29的開口率被設定爲從5〇%到8〇%的範圍内。請注 思’在此種情況下’開口率代表包含於蓋構件2 9之整個開 口 30的面積與蓋構件29全部面積的比例,亦即(開口率 -(開口 3 0的全邵面積)/(蓋構件29的全部面積)X丨〇〇。 範例5 圖1 2顯tf使用根據本發明第四具體實施例之處理裝置i 〇 進行之清潔實驗的結果。 清潔實驗係以與範例4相同的方式進行。在膜生成製程中 ,在晶圓W上與電極之間的距離爲5〇公釐之處,生成了厚 度爲5微米的Si0F膜。在清潔過程中,清潔用氣體係以 NF3/Ar= 1000/1000 (sccm/sccm)的比例,且在反應室 n 内 的壓力爲1 3巴的情況下供應。 蓋構件29的開口率被設定爲62%。爲進行比較,使用許 多個蓋構件29(開口率分別爲10%、35%和1〇〇%)進行清潔實 驗0 如同實驗4一般,在清潔實驗中,反應室丨丨内的每一點備 有曰曰片(其上有氧化碎膜生成)。測量氧化石夕膜的厚度。計 异氧化硬膜厚度的減少’而得到在每一點的清潔速率。 用來測量清潔速率測量的點係以符號丨到v來識別,如圖8 -19-本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 550674 A7 B7 五、發明説明(η ) 所tf。凊注意,點I處的晶片放在承載器丨2上,其餘在點n 到V處的晶片則備於與承載器丨2相同的平面上。 如圖12所見,若蓋構件29的開口率爲1〇〇%,則點丨至¥處 個別的清潔速率的變化會很大。若蓋構件29的開口率爲 1 0%或3 5%,則孩處的清潔速率將相當均勻。然而,在開口 率爲10%或35%的情況下,此種清潔速率並不夠高。另外, 根據第四具貝施例的處理裝置(使用開口率爲62%的蓋構 件29),可在反應室n内的的每一點得到幾乎均勻的清 潔速率。 因此,在本具體實施例構成的處理裝置1〇(使用開口率在 50%到80%之範圍内的蓋構件29)中’可得到夠高的清潔速 率。此外,可以均句地將清潔用氣體供應至反應室n内。 在第四具體實施例中,蓋構件29的開口3㈣成像狹縫的 形狀。然而開口 30的形狀並不限於此。例如,開口3〇可形 成圓形、多邊形,或任何其他形狀。再者,可納入許多個 互相平行的狹縫形狀的開口 3G。此外,蓋構件_形狀並 不限於長方形,蓋構件29可形成圓形,與清潔用氣體開口 2 8的邵分相符。 在第四具體實施例中,蓋構件29的開σ3ΰ可分別設定爲 各種角度,如圖13所示。在此稀姓接士 + 在此種結構中,清潔用氣體可均 勻地噴到反應室11内。 圖13顯示®丨1的蓋構件2911定於清潔用氣體人口 28内的 狀態。在蓋構件29的五個開口 3〇中’中央開口 3〇a形成一盘 蓋構件29主要表面垂直的路徑。開口地和 ^ -20 -____ B7 ‘Explanation of the invention (16) / The cleaning gas is introduced into the reaction chamber 11 through the cover member 29. As shown in Fig. 11, the cover member 29 is formed in a rectangular shape and has five slit-like openings 30. These five openings 30 are parallel to each other. The size of the cover member 29 is approximately the same as that of the female-cleaning gas inlet 28. The cleaning gas is supplied to the reaction chamber 11 | t] through the opening 30. The cover member 29 is made of Al203. The opening ratio of the cover member 29 is set in a range from 50% to 80%. Please note that, in this case, the opening ratio represents the ratio of the area of the entire opening 30 included in the cover member 29 to the total area of the cover member 29, that is, (opening rate-(full area of opening 30) / (The entire area of the cover member 29) X 丨 〇〇. Example 5 FIG. 12 shows the results of a cleaning experiment performed by tf using the processing device i 〇 according to the fourth embodiment of the present invention. The cleaning experiment was performed in the same manner as in Example 4. In the film production process, the distance between the wafer W and the electrode is 50 mm, and a Si0F film with a thickness of 5 microns is generated. During the cleaning process, the cleaning gas system uses NF3 / Ar = 1000/1000 (sccm / sccm) ratio and is supplied when the pressure in the reaction chamber n is 13 bar. The opening ratio of the lid member 29 is set to 62%. For comparison, many lids are used Component 29 (opening rates of 10%, 35%, and 100%, respectively) was subjected to a cleaning experiment. 0 As in Experiment 4, in the cleaning experiment, a wafer (with oxidation on it) was prepared at each point in the reaction chamber. Fractured film formation). Measure the thickness of the oxidized stone film. Calculate the thickness of the hard oxide film Reduce 'to get the cleaning rate at each point. The points used to measure the cleaning rate are identified by the symbols 丨 to v, as shown in Figure 8 -19- This paper size applies the Chinese National Standard (CNS) A4 specification (210 X 297 (Mm) 550674 A7 B7 V. Description of the invention (η) tf. 凊 Note that the wafer at point I is placed on the carrier 丨 2 and the remaining wafers at points n to V are prepared the same as the carrier 丨 2 As shown in FIG. 12, if the opening rate of the cover member 29 is 100%, the individual cleaning rate at the point from ¥ to ¥ will vary greatly. If the opening rate of the cover member 29 is 10% or 3 5%, the cleaning rate in children will be fairly uniform. However, this cleaning rate is not high enough at an opening rate of 10% or 35%. In addition, according to the treatment device of the fourth example ( Using a cover member 29 with an opening rate of 62%, an almost uniform cleaning rate can be obtained at each point in the reaction chamber n. Therefore, the processing device 10 constructed in this embodiment (using an opening rate of 50% to In the cover member 29) in the range of 80%, a sufficiently high cleaning rate can be obtained. In addition, even A cleaning gas is supplied into the reaction chamber n. In the fourth embodiment, the opening 3 of the cover member 29 is shaped like a slit. However, the shape of the opening 30 is not limited to this. For example, the opening 30 may be formed in a circular shape. , Polygon, or any other shape. In addition, a plurality of slit-shaped openings 3G parallel to each other can be incorporated. In addition, the shape of the cover member _ is not limited to a rectangle, and the cover member 29 can be formed in a circular shape with the cleaning gas opening 2 Shao points of 8 match. In the fourth specific embodiment, the opening σ3ΰ of the cover member 29 can be set to various angles, as shown in FIG. 13. In this structure, the cleaning gas can be sprayed into the reaction chamber 11 uniformly. Fig. 13 shows a state in which the cover member 2911 of the ®1 is set in the cleaning gas population 28. Of the five openings 30 of the cover member 29, the center opening 30a forms a path in which the main surface of the disk cover member 29 is perpendicular. Open ground and ^ -20-

550674 A7550674 A7

30a除外)形成與主要表 鄰近中央開口 30a的兩 的路徑,而兩個末端開 面成對角線的路徑。更特別言之, 個開口 30b形成盥主要矣t / I、王胥表面夾60。角 口 3〇c則形成45。角的路徑。 在盖構件29包含與主要表面形成對角線路徑之開口遍 和30c的結構中,清潔用氣體會從開口 3〇b和3〇c沿對角線方 向噴出。因此,氣體從清潔用氣體入口 28均勻地噴出'。’ 範例6 圖14顯示使用根據第四具體實施例的處理裝置包含 圖1 3的盍構件29)達成的清潔實驗的結果。 這些清潔實驗是根據與範例4相同的步驟進行的。在膜生 成製程中,在晶圓W上與電極之間的距離爲5〇公釐之處, 生成了厚度爲5微米的SiOF膜。在清潔過程中,清潔用氣體 係以NF3/Ar = 1000/1000 (sccm/sccm)的比例,且在反應室 11内的壓力爲1 3巴的情況下,流進與經過反應室1 ^。 蓋構件29的開口率被設定爲35%。爲進行比較,使用只 包含圖15之垂直開口 30a的蓋構件29,執行與範例4之實驗 相同的清潔實驗。 如同實驗4 一般,在實驗中,反應室1丨内的每一點備有晶 片(其上有氧化碎膜生成),且測量氧化碎膜的厚度。測量 氧化矽膜厚度的減少,以計算在每一點的清潔速率。 用來測量清潔速率測量的點係以圖8所示的符號I到V來 識別。點I處的晶片放在承載器1 2上,其餘分別在點II到V 處的晶片則備於與承載器12幾乎相同的平面上。 如圖14中顯然可見,在使用只包含垂直開口 30a之蓋構件 -21 - 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)Except 30a), two paths are formed adjacent to the central opening 30a of the main surface, and two ends are opened diagonally. More specifically, each of the openings 30b forms a main surface 矣 t / I and a king 胥 surface clip 60. The corner 30c forms 45. Angular path. In the structure in which the cover member 29 includes openings 30c forming a diagonal path with the main surface, cleaning gas is ejected diagonally from the openings 30b and 30c. Therefore, the gas is uniformly ejected from the cleaning gas inlet 28 '. Example 6 FIG. 14 shows the results of a cleaning experiment using a processing device according to the fourth embodiment including the cymbal member 29) of FIG. 13. These cleaning experiments were performed according to the same procedure as in Example 4. In the film production process, a distance of 50 mm from the electrode on the wafer W was formed to produce a SiOF film having a thickness of 5 micrometers. During the cleaning process, the cleaning gas flows into and through the reaction chamber 1 at a ratio of NF3 / Ar = 1000/1000 (sccm / sccm) and the pressure in the reaction chamber 11 is 13 bar. The opening ratio of the cover member 29 is set to 35%. For comparison, the same cleaning experiment as the experiment of Example 4 was performed using a cover member 29 containing only the vertical opening 30a of Fig. 15. As in Experiment 4, in the experiment, each point in the reaction chamber 1 丨 is provided with a wafer (on which an oxide film is formed), and the thickness of the oxide film is measured. The reduction in thickness of the silicon oxide film was measured to calculate the cleaning rate at each point. The points used to measure the cleaning rate are identified by the symbols I to V shown in FIG. The wafer at point I is placed on the carrier 12, and the remaining wafers at points II to V are prepared on the same plane as the carrier 12. As can be clearly seen in Figure 14, when using a cover member that includes only vertical openings 30a -21-This paper size applies to China National Standard (CNS) A4 (210 X 297 mm)

裝 訂Binding

線 550674 A7 B7 五、發明説明(19 ) 29的情況下,點III處的清潔速率最低,且點I至v各點的清 潔速率變化很大。在使用包含對角線開口 30b和30c之蓋構 件的情況下,除了點I之外(在承載器12上),在點II到v各點 的清潔速率大致相同。因此’利用包含形成預定角度(例如 45。、60°)路徑之開口 3 0b和3 0c的蓋構件29,可沿各方向將 清潔用氣體供應至反應室1 1内,因此可以均勻地清潔反應 室1 1的内部。 在以上的範例中,來自對角線開口 30b和30c的路徑角度 並不限於45。和60。,也可能是70。、30。等等。此外,根據 開口 3 0的數目,來自開口 3 0的路徑角度可以改變。例如, 若開口 30的數目爲7,則7個開口 30可能從中央的開口到兩 端的開口,依序分別形成具有90。、60。、45。和30。角度的 路徑。 第五具體實施例 根據本發明第五具體實施例的處理裝置包含一反應室。〜二 在此反應室中,使用一電漿CVD法,在晶圓W上生成SiOF 膜,該法運用含有SiH4、SiF4*〇2的製程氣體。使用含有 NF3的清潔用氣體除去在膜生成製程之後,殘留且附著於反 應室11内邵的SiOF膜。清潔用氣體係於反應室1丨外活化, 以便使用。 根據本發明第五具體實施例的處理裝置,其結構與圖4 所示’由第二具體實施例構成的處理裝置相同。在根據第 五具體貫施例的處理裝置中,反應室丨丨連接到製程氣體管 線L1、廢氣管線L3和清潔用氣體管線L4。 -22- 本纸張尺度適用中國國家標準(CNS) A4規格(21〇 X 297公釐) -- 550674 A7 _________B7 五、發明説明(20 ) " " 一 "" 圖⑽員示根據第五具體實施例之處理裝置ι〇的截面圖。 在圖⑺中,相同的元件係以與圖5相同的參考號碼來識別。 爲簡單起見,氣體管線和RF電源在圖16中未示出。 在圖咐示的處理裝_中,冷康劑路徑31内嵌於電極 支撑架18和反應室11的側壁。冷滚劑流經冷陳劑路徑31, 因此反應室11的Λ表面(特別是電極支撑架18支撑的電極 板1 7和反應室1 1的壁)保持於一預定的溫度。在清潔過程中 ,系統控制器100控制冷凍劑的流動系統,以調整反應室" 的溫度。在此種規格中,術言吾「冷;東劑」是指維持物體溫 度,而不僅是指冷卻(冷凍)物體的流體材料。因此,處於 眞立狀悲的反應室1 1内邵基本上乃是維持於一非常低的溫 度,故電極板17等大致上是被冷凍劑加熱。 電極板17有許多個孔17a,可將製程氣體引入反應室u 内。在此種結構中,電極板17是最可能被膜附著的元件之 一,因此在反應室構件中應該是最先清潔的一個元件。因、二 爲電極板1 7的結構包含許多個孔丨7 a,電極板丨7無法輕易地 加以清潔。藉由使用冷凍劑將電極板17加熱,電極板17的 清潔速率可以部分地提高。 範例7 使用包含盖構件2 9的處理裝置1 〇,在下列條件下實行清 潔過程。在膜生成製程中,在晶圓W上與電極之間的距離 爲50公釐之處,生成了厚度爲5微米的以〇1:膜。在清潔過程 中’清>名用氣體係以NFs/Ar^ 1000/1000 (sccm/sccm)的比 例,且在反應室1 1内的壓力爲1 3巴的情況下流動。流入電 -23- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 550674Line 550674 A7 B7 5. In the case of (19) 29, the cleaning rate at point III is the lowest, and the cleaning rate at points I to v varies greatly. In the case of using a cover member including diagonal openings 30b and 30c, except for point I (on the carrier 12), the cleaning rates at points II to v are approximately the same. Therefore, by using the cover member 29 including the openings 30b and 30c forming a path at a predetermined angle (for example, 45 °, 60 °), the cleaning gas can be supplied into the reaction chamber 11 in all directions, so that the reaction can be cleaned uniformly. Interior of room 1 1. In the above example, the path angles from the diagonal openings 30b and 30c are not limited to 45. And 60. It could also be 70. , 30. and many more. In addition, depending on the number of openings 30, the path angle from the openings 30 may be changed. For example, if the number of the openings 30 is seven, the seven openings 30 may be sequentially formed to have 90 from the central opening to the openings at both ends. , 60. , 45. And 30. The angle of the path. Fifth Embodiment A processing apparatus according to a fifth embodiment of the present invention includes a reaction chamber. ~ 2 In this reaction chamber, a plasma CVD method is used to form a SiOF film on the wafer W. This method uses a process gas containing SiH4 and SiF4 * 〇2. The cleaning gas containing NF3 was used to remove the SiOF film remaining in the reaction chamber 11 after the film formation process. The cleaning gas system is activated outside the reaction chamber 1 for use. The processing apparatus according to the fifth specific embodiment of the present invention has the same structure as that shown in FIG. 4 'which is constituted by the second specific embodiment. In the processing apparatus according to the fifth embodiment, the reaction chamber is connected to the process gas line L1, the exhaust gas line L3, and the cleaning gas line L4. -22- This paper size is in accordance with China National Standard (CNS) A4 (21〇X 297 mm)-550674 A7 _________B7 V. Description of the invention (20) " " a " " A cross-sectional view of the processing device ιo of the fifth embodiment. In FIG. ⑺, the same components are identified by the same reference numbers as in FIG. 5. For simplicity, the gas lines and RF power are not shown in FIG. 16. In the processing device shown in the drawing, the cold agent path 31 is embedded in the electrode support frame 18 and the side wall of the reaction chamber 11. The cold rolling agent flows through the cooling agent path 31, so the Λ surface of the reaction chamber 11 (especially, the electrode plate 17 supported by the electrode support frame 18 and the wall of the reaction chamber 11) is maintained at a predetermined temperature. During the cleaning process, the system controller 100 controls the refrigerant flow system to adjust the temperature of the reaction chamber. In this specification, the term "cold; eastward agent" refers to maintaining the temperature of an object, not just a fluid material that cools (freezes) an object. Therefore, the inside of the standing reaction chamber 11 is basically maintained at a very low temperature, so that the electrode plate 17 and the like are substantially heated by the refrigerant. The electrode plate 17 has a plurality of holes 17a, and the process gas can be introduced into the reaction chamber u. In this structure, the electrode plate 17 is one of the components most likely to be adhered to the film, and therefore it should be the first component to be cleaned among the reaction chamber members. Because the structure of the electrode plate 17 includes many holes 7a, the electrode plate 7 cannot be easily cleaned. By heating the electrode plate 17 using a refrigerant, the cleaning rate of the electrode plate 17 can be partially increased. Example 7 Using a processing device 10 including a cover member 29, a cleaning process was performed under the following conditions. In the film formation process, the distance between the electrode and the electrode on the wafer W was 50 mm, and a 1: 1 film was formed with a thickness of 5 μm. During the cleaning process, the "cleaning" gas system flows at a ratio of NFs / Ar ^ 1000/1000 (sccm / sccm) and the pressure in the reaction chamber 11 is 13 bar. Incoming electricity -23- This paper size applies to China National Standard (CNS) A4 (210 X 297 mm) 550674

極支撑架18和反應室U之壁的冷凍劑,其溫度被設定爲ι〇〇 c。爲得到貫驗結果,電極板丨7上備有晶片(其上有氧化矽 膜生成),且測量氧化矽膜厚度的減少。 圖Π顯7F此種實驗結果。如圖丨7所見,相較於電極板i 7 未加熱的情況,在將電極板丨7加熱的情況下,可得到電極 板1 7處的冋清潔速率。根據第五具體實施例的處理裝置 (其中將電極板1 7加熱),難以充份清潔的電極板丨7處的清 你速率可以提高,因此可以均勻地執行反應室丨丨的清潔過 私。再者’藉由將反應室Π的壁加熱,可得到整個反應室 1 1的高清潔效率。 在第五具體實施例中,電極板17和反應室丨丨的壁係由冷 滚劑加熱。然而,該壁可使用任何其他方法加熱。 例如,如圖1 8所示,若不使用冷凍劑路徑3丨,反應室i i 内也可包含一加熱器32,例如一電阻器等。 如圖19所示’電極板π和反應室u的壁可由一盞燈33加 熱’例如一卣素燈等。在此種情況下,反應室丨丨的側表面 上可備有窗口 3 4,俾將光線從燈3 3經由窗口 3 4照射到電極 板17等,而將其加熱。 圖Π也顯示除了不加熱電極板17及以冷凍劑加熱的情況 之外,分別在以圖1 8所示的加熱器3 2加熱電極板17,以及 以圖19所示的燈3 3加熱的情況下,清潔實驗的結果。加熱 器32及燈33係被設於l〇(TC。 如圖17所示,電極板17係由加熱器32或燈33加熱,以獲 得電極板17上之高清潔速率。因此,電極板丨7被加熱,而 -24- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 550674 A7 B7 五、發明説明(22 ) 提南了無法充份清潔之電極板1 7處的清潔速率。 在本發明的第五具體實施例中,加熱器32或燈33的溫度 被設定爲100°C。然而,只要能夠均勻地完成清潔反應室11 的内部,該溫度並不限於1 〇〇°C。 在以上所述的第一到第五具體實施例中,在平行板型電 漿處理裝置10内,SiOF膜在晶圓W上生成,且使用NF3氣體 進行反應室11的清潔過程。然而,要生成的膜並不限於SiOF 膜,也可能生成含碎的膜,例如Si02、SiC、SiN、SiCN、 SiCH、SiOCH等。清潔用氣體不僅可包括NF3氣體,也可以 包括含有氟的氣體,例如CF4、C2F6、SF6等,或一含有氣 的氣體,例如ClyBCl4等。本發明亦可運用於處理[CD (液晶顯示器)設備的處理裝置。 在第二到第五具體貫施例中,清潔用氣體被活化,以產 生清潔用氣體的電漿,待別是含有原子基者。然而,藉由 將清潔用氣體活化,亦可運用原子基以外的活性物種,以 執行反應室的清潔過程。 根據第二到第五具體實施例的本發明不僅可應用於平行 板型電漿處理裝置,也可應用於任何其他類型的電漿處理 裝置,例如ECR-型處理裝置、lcp_型處理裝置、螺旋型處 理裝置、微波型處理裝置等等。此外,本發明不僅可應用 於電漿處理裝置,亦可應用於其他處理裝置,例如蝕刻装 置、濺鍍裝置、熱處理裝置等等。 -25-The temperature of the refrigerant in the electrode supporting frame 18 and the wall of the reaction chamber U is set to ιοc. In order to obtain the results of the inspection, a chip (with a silicon oxide film formed thereon) is prepared on the electrode plate 7 and the reduction in the thickness of the silicon oxide film is measured. Figure Π shows the results of such an experiment in 7F. As shown in FIG. 7, compared with the case where the electrode plate i 7 is not heated, when the electrode plate 7 is heated, the cleaning rate of 冋 at the electrode plate 17 can be obtained. According to the processing apparatus of the fifth embodiment (where the electrode plate 17 is heated), the cleaning rate at the electrode plate 7 which is difficult to be sufficiently cleaned can be increased, so that the cleaning of the reaction chamber 丨 can be performed uniformly. Furthermore, by heating the walls of the reaction chamber II, a high cleaning efficiency of the entire reaction chamber 11 can be obtained. In a fifth embodiment, the electrode plate 17 and the wall of the reaction chamber 丨 are heated by a cold roll. However, the wall can be heated using any other method. For example, as shown in FIG. 18, if the refrigerant path 3 丨 is not used, the reaction chamber i i may also include a heater 32 such as a resistor or the like. As shown in FIG. 19, 'the electrode plate? And the wall of the reaction chamber u can be heated by a lamp 33', such as a halogen lamp or the like. In this case, a window 3 4 may be provided on a side surface of the reaction chamber 丨, and the light is irradiated from the lamp 33 to the electrode plate 17 and the like through the window 34 to heat it. Figure Π also shows that except for the case where the electrode plate 17 is not heated and the refrigerant is heated, the electrode plate 17 is heated by the heater 32 shown in FIG. Case, results of cleaning experiments. The heater 32 and the lamp 33 are provided at 10 ° C. As shown in FIG. 17, the electrode plate 17 is heated by the heater 32 or the lamp 33 to obtain a high cleaning rate on the electrode plate 17. Therefore, the electrode plate 丨7 is heated, and -24- This paper size is in accordance with Chinese National Standard (CNS) A4 specification (210 X 297 mm) 550674 A7 B7 V. Description of the invention (22) The electrode plate that can not be cleaned is raised 1 7 places In the fifth specific embodiment of the present invention, the temperature of the heater 32 or the lamp 33 is set to 100 ° C. However, as long as the inside of the reaction chamber 11 can be cleaned uniformly, the temperature is not limited to 1 〇 ° C. In the first to fifth specific embodiments described above, in the parallel plate type plasma processing apparatus 10, a SiOF film is generated on the wafer W, and the reaction chamber 11 is cleaned using NF3 gas. However, the film to be generated is not limited to the SiOF film, and may also generate a film containing debris, such as Si02, SiC, SiN, SiCN, SiCH, SiOCH, etc. The cleaning gas may include not only NF3 gas but also fluorine-containing gas. Gas, such as CF4, C2F6, SF6, etc., or a gas containing gas For example, ClyBCl4, etc. The present invention can also be applied to a processing device for processing [CD (Liquid Crystal Display) equipment. In the second to fifth embodiments, the cleaning gas is activated to generate a plasma of the cleaning gas, Beside those that contain atomic groups. However, by activating the cleaning gas, active species other than atomic groups can also be used to perform the cleaning process of the reaction chamber. According to the second to fifth embodiments, the present invention is not only applicable to It is applied to the parallel plate type plasma processing device, and it can also be applied to any other type of plasma processing device, such as ECR-type processing device, lcp_-type processing device, spiral-type processing device, microwave-type processing device and so on. The present invention can be applied not only to a plasma processing apparatus, but also to other processing apparatuses, such as an etching apparatus, a sputtering apparatus, a heat treatment apparatus, and the like.

Claims (1)

550674 A8 B8 C8 D8 六 申請專利範圍 一種處理裝置,其包含: 一反應室; 一氣體來源,用於供應清潔該反應室内部的氣體; 一氣體管線,用於將該氣體來源供應的氣體引入該反 應室; 一活化器,備於該氣體管線内,並活化該氣體來源供 應的氣體;以及 至少三個氣體入口,備於該反應室的側壁,並連接至 該氣體管線。 如申請專利範圍第1項的處理裝置,其中該至少三個氣體 入口係設成大約相等的間隔。 如申請專利範圍第1項的處理裝置,其中該處理裝置包含 一電漿產生機構,俾於該反應室中對目標物體提供電漿 處理。 如申請專利範圍第1項的處理裝置,其中該活化器會產生 氣體的電漿。 一種處理裝置,其包含: 一反應室; 一氣體來源,用於供應清潔該反應室内部的氣體; 一氣體管線,用於將該氣體來源供應的氣體引入該反 應室; 一活化器,備於該氣體管線内,並活化該氣體來源供 應的氣體;以及 一氣體入口,備於該反應室的一個表面上,並連接至 -26- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐)550674 A8 B8 C8 D8 Six patent application scope A processing device comprising: a reaction chamber; a gas source for supplying gas for cleaning the interior of the reaction chamber; a gas pipeline for introducing the gas supplied by the gas source into the A reaction chamber; an activator provided in the gas pipeline and activating a gas supplied by the gas source; and at least three gas inlets provided in a side wall of the reaction chamber and connected to the gas pipeline. For example, the processing device of the scope of patent application, wherein the at least three gas inlets are arranged at approximately equal intervals. For example, the processing device of the first patent application range, wherein the processing device includes a plasma generating mechanism, and plasma processing is provided in the reaction chamber for the target object. For example, the treatment device of the scope of patent application, wherein the activator generates a plasma of gas. A processing device includes: a reaction chamber; a gas source for supplying a gas for cleaning the inside of the reaction chamber; a gas line for introducing a gas supplied from the gas source into the reaction chamber; an activator provided in The gas pipeline activates the gas supplied by the gas source; and a gas inlet is provided on one surface of the reaction chamber and is connected to -26- This paper size applies to the Chinese National Standard (CNS) A4 specification (210 X (297 mm) 裝 訂Binding ο 5 5ο 5 5 該氣體管線,而且 其中該氣體入口被一蓋構件遮蓋,此蓋構件至少包含 —開口,其面積介於蓋構件之主要表面面積的50%到80〇/〇 之間。 6·如申請專利範圍第5項的處理裝置,其中該處理裝置包含 一電漿產生機構,俾於該反應室中對目標物體提供電漿 處理。 7.如申請專利範圍第5項的處理裝置,其中該活化器會產生 氣體的電漿。 8· —種處理裝置,其包含: 一反應室; 一氣體來源,用於供應清潔該反應室内部的氣體; 一氣體管線,用於將該氣體來源供應的氣體引入該反 應室; 一活化器,備於該氣體管線内,並活化該氣體來源供 應的氣體;以及 一氣體入口,備於該反應室的表面上,並連接至該氣 體管線, 其中該氣體入口被一蓋構件遮蓋,該蓋構件在與其厚 度方向成對角線的方向上備有至少包含一個開口。 9·如申請專利範圍第8項的處理裝置,其中該處理裝置包含 一電漿產生機構,俾於該反應室中對目標物體提供電漿 處理。 10·如申請專利範圍第8項的處理裝置,其中該活化器會產生 -27- 本紙張尺度適用中國國家標準(CNS) A4規格(210 X 297公釐) 550674 A B c D 六、申請專利範圍 氣體的電漿。 11. 一種處理裝置,其包含: 一反應室; 一氣體來源,用於供應清潔該反應室内部的氣體; 一氣體管線,用於將該氣體來源供應的氣體引入該反 應室; 一活化器’備於該氣體管線内,並活化該氣體來源供 應的氣體;以及 一加熱機構,用於加熱該反應室的内表面。 12·如申請專利範圍第11項的處理裝置,其中該加熱機構包 含内嵌於該反應室内的冷凍劑路徑。 13·如申請專利範圍第11項的處理裝置,其中該熱機構包含 一内嵌於該反應室内的加熱器。 14·如申請專利範圍第丨丨項的處理裝置,其中: 該反應室包含一窗口;以及 該熱機構備於反應室外,且包含一具燈,用於將光線 透過窗口照射到該反應室的内表面。 15·如申請專利範圍第11項的處理裝置,其中該處理裝置包 含一電漿產生機構,俾於該反應室中對目標物體提供電 漿處理。 16·如申請專利範圍第11項的處理裝置,其中該活化器會產 生氣體的電漿。 Π· —種用於清潔處理裝置的方法,此裝置在一反應室内包 含兩具電極,該方法由下列步驟组成: -28- 本紙張尺度適用中國國象標準(CNS) A4規格(210 X 297公釐) 550674The gas pipeline, and wherein the gas inlet is covered by a cover member, the cover member includes at least an opening, the area of which is between 50% and 80/0 of the main surface area of the cover member. 6. The processing device according to item 5 of the scope of patent application, wherein the processing device includes a plasma generating mechanism, and provides plasma processing to the target object in the reaction chamber. 7. The processing device according to item 5 of the patent application scope, wherein the activator generates a gas plasma. 8. A processing device comprising: a reaction chamber; a gas source for supplying a gas for cleaning the interior of the reaction chamber; a gas line for introducing a gas supplied from the gas source into the reaction chamber; an activator Is provided in the gas line and activates the gas supplied by the gas source; and a gas inlet is provided on the surface of the reaction chamber and is connected to the gas line, wherein the gas inlet is covered by a cover member, the cover The member is provided with at least one opening in a direction diagonal to its thickness direction. 9. The processing device according to item 8 of the scope of patent application, wherein the processing device includes a plasma generating mechanism, and the target chamber is provided with plasma processing in the reaction chamber. 10 · If the processing device of the scope of patent application No.8, where the activator will produce -27- This paper size applies to China National Standard (CNS) A4 specifications (210 X 297 mm) 550674 AB c D Gas plasma. 11. A processing device comprising: a reaction chamber; a gas source for supplying a gas for cleaning the inside of the reaction chamber; a gas line for introducing a gas supplied from the gas source into the reaction chamber; an activator ' Prepared in the gas pipeline, and activated gas supplied from the gas source; and a heating mechanism for heating the inner surface of the reaction chamber. 12. The processing device according to item 11 of the application, wherein the heating mechanism includes a refrigerant path embedded in the reaction chamber. 13. The processing device of claim 11 in which the thermal mechanism includes a heater embedded in the reaction chamber. 14. The processing device according to item 丨 丨 in the scope of patent application, wherein: the reaction chamber includes a window; and the thermal mechanism is prepared outside the reaction chamber and includes a lamp for radiating light to the reaction chamber through the window. The inner surface. 15. The processing device according to item 11 of the patent application scope, wherein the processing device includes a plasma generating mechanism, and plasma processing is provided in the reaction chamber for the target object. 16. The processing device of claim 11 in which the activator generates a plasma of gas. Π · —A method for cleaning a processing device. The device includes two electrodes in a reaction chamber. The method consists of the following steps: -28- This paper size is applicable to China National Elephant Standard (CNS) A4 (210 X 297) Mm) 550674 申請專利範圍 •將一清潔用氣體引入該反應室;以及 對兩具電極施加RF電源,藉而活化清潔用氣體。 18·如申請專利範圍第17項的方法,其中清潔用氣體被活化 ’以產生其電漿。 19· 一種用於清潔處理裝置的方法,此裝置在一反應室内包 含兩具電極,該方法包含下列步驟: 在該反應室外活化清潔用氣體; 將被活化的氣體引入該反應室内;以及 對兩具電極至少其中之一施加RF電源,而活化清潔用 氣體。 2〇·如申請專利範圍第19項的方法,其中清潔用氣體被活化 ’以產生其電漿。 21· 一種用於清潔處理裝置的方法,此裝置包含一反應室, 該方法包含下列步驟: 在該反應室外活化清潔用氣體;以及 至少從三條路徑將被活化的氣體引入反應室内。 22. 如申請專利範圍第2 1項的方法,其中該處理裝置在反應 室内對目標物體提供電漿處理。 23. 如申請專利範圍第2 1項的方法,其中清潔用氣體被活化 ,以產生其電漿。 24. —種用於清潔處理裝置的方法,此裝置包含一反應室, 該方法包含下列步驟: 在該反應室外活化清潔用氣體;以及 從各方向將被活化的氣體引入反應室内° -29- 本紙張尺度適用中國國家標準<CNS) A4規格(210X297公釐) 550674 A8 B8 C8 ^___D8____ 六、申請專利範圍 25·如申請專利範圍第24項的方法,其中該處理裝置在反應 室内對目標物體提供電漿處理。 26·如申請專利範圍第24項的方法,其中清潔用氣體被活化 ,以產生其電漿。 27· —種用於清潔處理裝置的方法,此裝置包含一反應室, 該方法包含下列步驟: 在該反應室外活化清潔用氣體; 將氣體引入反應室内;以及 將反應室内的壓力維持於1 00巴到400巴的範圍内。 28. 如申請專利範圍第27項的方法,其中該處理裝置在反應 室内對目標物體提供電漿處理。 29. 如申請專利範圍第27項的方法,其中清潔用氣體被活化 ,以產生其電漿。 30· —種用於清潔處理裝置的方法,此裝置包含一反應室, 該方法包含下列步驟: 在該反應室外活化清潔用氣體; 將氣體引入反應室内;以及 加熱反應室的内表面。 31. 如申請專利範圍第30項的方法,其中該處理裝置在反靡 室内對目標物體提供電漿處理。 ^ 32. 如申請專利範圍第30項的方法’其中清潔用氣體被活化 ,以產生其電漿。 -30-Scope of patent application • Introducing a cleaning gas into the reaction chamber; and applying RF power to two electrodes to activate the cleaning gas. 18. A method as claimed in claim 17 in which the cleaning gas is activated to generate its plasma. 19. A method for cleaning a processing device comprising two electrodes in a reaction chamber, the method comprising the following steps: activating a cleaning gas outside the reaction chamber; introducing the activated gas into the reaction chamber; and An RF power source is applied to at least one of the electrodes to activate the cleaning gas. 20. The method of claim 19, wherein the cleaning gas is activated to generate its plasma. 21. A method for cleaning a processing apparatus, the apparatus comprising a reaction chamber, the method comprising the steps of: activating a cleaning gas outside the reaction chamber; and introducing the activated gas into the reaction chamber from at least three paths. 22. The method according to item 21 of the patent application scope, wherein the processing device provides plasma treatment to the target object in the reaction chamber. 23. The method of claim 21, wherein the cleaning gas is activated to generate its plasma. 24. A method for cleaning a processing device, the device comprising a reaction chamber, the method comprising the steps of: activating a cleaning gas outside the reaction chamber; and introducing the activated gas into the reaction chamber from all directions ° -29- This paper size applies to Chinese National Standards < CNS) A4 specifications (210X297 mm) 550674 A8 B8 C8 ^ ___ D8____ VI. Application for patent scope 25. For the method of the scope of patent application No. 24, in which the processing device targets the target in the reaction chamber The object is provided with plasma treatment. 26. The method of claim 24, wherein the cleaning gas is activated to generate its plasma. 27. A method for cleaning a processing device, the device comprising a reaction chamber, the method comprising the following steps: activating a cleaning gas outside the reaction chamber; introducing the gas into the reaction chamber; and maintaining the pressure in the reaction chamber at 100 Bar to 400 bar. 28. The method of claim 27, wherein the processing device provides plasma treatment of the target object in the reaction chamber. 29. The method of claim 27, wherein the cleaning gas is activated to generate its plasma. 30. A method for cleaning a processing device, the device comprising a reaction chamber, the method comprising the steps of: activating a cleaning gas outside the reaction chamber; introducing the gas into the reaction chamber; and heating the inner surface of the reaction chamber. 31. The method of claim 30, wherein the processing device provides plasma treatment of the target object in a reverse room. ^ 32. The method according to item 30 of the scope of patent application, wherein the cleaning gas is activated to generate its plasma. -30-
TW090119318A 2000-08-08 2001-08-08 Processing apparatus and a cleaning method TW550674B (en)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2000239426A JP2002057106A (en) 2000-08-08 2000-08-08 Treatment unit and its cleaning method

Publications (1)

Publication Number Publication Date
TW550674B true TW550674B (en) 2003-09-01

Family

ID=18730966

Family Applications (1)

Application Number Title Priority Date Filing Date
TW090119318A TW550674B (en) 2000-08-08 2001-08-08 Processing apparatus and a cleaning method

Country Status (5)

Country Link
US (1) US20040065344A1 (en)
JP (1) JP2002057106A (en)
KR (1) KR100554643B1 (en)
TW (1) TW550674B (en)
WO (1) WO2002012587A2 (en)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2002280376A (en) 2001-03-22 2002-09-27 Research Institute Of Innovative Technology For The Earth Method and apparatus of cleaning cvd apparatus
US6902629B2 (en) 2002-04-12 2005-06-07 Applied Materials, Inc. Method for cleaning a process chamber
KR100465877B1 (en) * 2002-08-23 2005-01-13 삼성전자주식회사 Etching apparatus of semiconductor
US20040055708A1 (en) * 2002-09-24 2004-03-25 Infineon Technologies Richmond, Lp Apparatus and method for in-situ cleaning of borosilicate (BSG) and borophosphosilicate (BPSG) films from CVD chambers
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
US6923189B2 (en) * 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
JP2004335789A (en) * 2003-05-08 2004-11-25 Tadahiro Omi Cleaning method of substrate processing equipment
JP2005033173A (en) * 2003-06-16 2005-02-03 Renesas Technology Corp Method for manufacturing semiconductor integrated circuit device
US20050211264A1 (en) * 2004-03-25 2005-09-29 Tokyo Electron Limited Of Tbs Broadcast Center Method and processing system for plasma-enhanced cleaning of system components
US20060090773A1 (en) * 2004-11-04 2006-05-04 Applied Materials, Inc. Sulfur hexafluoride remote plasma source clean
KR100584781B1 (en) * 2004-12-02 2006-05-29 삼성전자주식회사 Method of manufacturing a semiconductor device and method of manufacturing a thin film layer using the same
JP2006319041A (en) * 2005-05-11 2006-11-24 Tokyo Electron Ltd Plasma cleaning method and method for forming film
KR100737716B1 (en) * 2005-05-26 2007-07-10 주식회사 에이디피엔지니어링 Apparatus for processing substrate with plasma
KR100712529B1 (en) * 2005-09-02 2007-04-30 삼성전자주식회사 Method of in-situ cleaning plasma applicator and plasma applicator adapting the same cleaning method
WO2007045110A2 (en) * 2005-10-17 2007-04-26 Oc Oerlikon Balzers Ag Cleaning means for large area pecvd devices using a remote plasma source
US7942970B2 (en) * 2005-12-20 2011-05-17 Momentive Performance Materials Inc. Apparatus for making crystalline composition
US20070207275A1 (en) * 2006-02-21 2007-09-06 Applied Materials, Inc. Enhancement of remote plasma source clean for dielectric films
KR100765128B1 (en) * 2006-05-30 2007-10-11 주식회사 아토 Apparatus for cleaning of chemical vaporization deposition chamber and method of cleaning the same
BRPI0803774B1 (en) * 2008-06-11 2018-09-11 Univ Federal De Santa Catarina Ufsc process and plasma reactor for treatment of metal parts
US7699935B2 (en) 2008-06-19 2010-04-20 Applied Materials, Inc. Method and system for supplying a cleaning gas into a process chamber
JP5431901B2 (en) * 2008-12-26 2014-03-05 キヤノンアネルバ株式会社 Inline vacuum processing apparatus, control method for inline vacuum processing apparatus, and method for manufacturing information recording medium
KR101126769B1 (en) * 2009-09-22 2012-03-29 삼성에스디아이 주식회사 Lamp Heater including a plurality of three phase power source lamp and Drying Apparatus for Secondary batter using the same
WO2011141986A1 (en) * 2010-05-10 2011-11-17 株式会社アルバック Plasma film formation device and film formation method
JP2013030696A (en) * 2011-07-29 2013-02-07 Ulvac Japan Ltd Plasma etching device and plasma cleaning method
US20150361547A1 (en) * 2014-06-13 2015-12-17 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for cleaning chemical vapor deposition chamber
KR102040090B1 (en) 2015-02-24 2019-11-28 에이피시스템 주식회사 Substrate processing apparatus, Method for cleaning and operating the same
US9767996B2 (en) * 2015-08-21 2017-09-19 Lam Research Corporation Application of powered electrostatic faraday shield to recondition dielectric window in ICP plasmas
JP2023045093A (en) * 2021-09-21 2023-04-03 東京エレクトロン株式会社 Device for performing plasma processing, and method for performing plasma processing

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5432184A (en) * 1977-08-18 1979-03-09 Toshiba Corp Forming apparatus for nitride coating
JP2635021B2 (en) * 1985-09-26 1997-07-30 宣夫 御子柴 Deposition film forming method and apparatus used for the same
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
EP0697467A1 (en) * 1994-07-21 1996-02-21 Applied Materials, Inc. Method and apparatus for cleaning a deposition chamber
JP3768575B2 (en) * 1995-11-28 2006-04-19 アプライド マテリアルズ インコーポレイテッド CVD apparatus and chamber cleaning method
JP4317608B2 (en) * 1999-01-18 2009-08-19 東京エレクトロン株式会社 Deposition equipment
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
US6835278B2 (en) * 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean

Also Published As

Publication number Publication date
WO2002012587A2 (en) 2002-02-14
WO2002012587A3 (en) 2003-03-20
US20040065344A1 (en) 2004-04-08
KR20030019912A (en) 2003-03-07
JP2002057106A (en) 2002-02-22
KR100554643B1 (en) 2006-02-24

Similar Documents

Publication Publication Date Title
TW550674B (en) Processing apparatus and a cleaning method
JP4889640B2 (en) Chamber for performing chemical vapor deposition on a substrate in a processing region
CN100511576C (en) A chemical oxide removal system and method
TWI262556B (en) Reactor assembly and processing method
JP2023025029A (en) Semiconductor processing chamber improving flow of precursor
TWI355688B (en) Post-etch treatment system for removing residue on
CN101916740B (en) In-situ dry clean chamber for front end of line fabrication
US20080283086A1 (en) Substrate processing apparatus and cleaning method therefor
TW200845190A (en) Multi-zone gas distribution system for a treatment system
JP5860668B2 (en) Manufacturing method of semiconductor device
TW200525595A (en) Process kit design for deposition chamber
TW200533781A (en) Gas diffusion shower head design for large area plasma enhanced chemical vapor deposition
JP2009004755A (en) Plasma immersion ion implantation in highly uniform chamber seasoning process for toroidal source reactor
JP7221879B2 (en) Deposition of metal silicide layers on substrates and chamber components
KR20070029085A (en) A gas mixture for removing photoresist and post etch residue from low-k dielectric material and method of use thereof
TW201126011A (en) Cleaning a surface of a component
TW201013139A (en) Heat treating device and heat treating method
TW200421485A (en) A nitrogen-free hard mask over low K dielectric
TW200526800A (en) Edge flow faceplate for improvement of CVD film properties
JP2010199475A (en) Cleaning method of plasma processing apparatus and storage medium
JP2004259964A (en) Film deposition equipment and method of manufacturing semiconductor device using the same
JPS6230329A (en) Dry etching device
JP3225872B2 (en) Silicon oxide film formation method
JP2002025914A (en) Substrate treatment device
JPH0497515A (en) Resist removing apparatus

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent
MM4A Annulment or lapse of patent due to non-payment of fees