WO2005104186A2 - Method and processing system for plasma-enhanced cleaning of system components - Google Patents

Method and processing system for plasma-enhanced cleaning of system components Download PDF

Info

Publication number
WO2005104186A2
WO2005104186A2 PCT/US2005/002460 US2005002460W WO2005104186A2 WO 2005104186 A2 WO2005104186 A2 WO 2005104186A2 US 2005002460 W US2005002460 W US 2005002460W WO 2005104186 A2 WO2005104186 A2 WO 2005104186A2
Authority
WO
WIPO (PCT)
Prior art keywords
processing system
process chamber
monitoring
chamber
cleaning
Prior art date
Application number
PCT/US2005/002460
Other languages
French (fr)
Other versions
WO2005104186B1 (en
WO2005104186A3 (en
Inventor
John Williams Kostenko
David L. O'meara
Original Assignee
Tokyo Electron Limited
Tokyo Electron America, Inc.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Limited, Tokyo Electron America, Inc. filed Critical Tokyo Electron Limited
Priority to JP2007504946A priority Critical patent/JP2007531269A/en
Publication of WO2005104186A2 publication Critical patent/WO2005104186A2/en
Publication of WO2005104186A3 publication Critical patent/WO2005104186A3/en
Publication of WO2005104186B1 publication Critical patent/WO2005104186B1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Definitions

  • the present invention relates to chamber processing, and more particularly to plasma-enhanced cleaning of system components during a cleaning process performed in a process chamber of a batch-type processing system.
  • process chambers such as plasma etch chambers, plasma deposition chambers, thermal processing chambers, chemical vapor deposition chambers, atomic layer deposition chambers, etc.
  • Processing of substrates in the process chambers can lead to formation of material deposits on system components exposed to the process environment that requires periodic cleaning of the process chambers to remove the material deposits.
  • System components are commonly replaced or cleaned after material deposits threaten particle problems, in between incompatible processes to be run in sequence, after detrimental processing conditions, or after poor processing results are observed.
  • system components can be cleaned or replaced according to a predetermined maintenance schedule that can, for example, be based on the number of operating hours or accumulated depositions.
  • the length of a cleaning process and equipment damage from over- cleaning can depend on the type of processing system, (e.g., batch-type vs. single wafer), amount and type of material deposits formed on the system components, the cleaning gas used for removing the material deposits, and process conditions such as pressure and temperature.
  • type of processing system e.g., batch-type vs. single wafer
  • amount and type of material deposits formed on the system components e.g., the cleaning gas used for removing the material deposits, and process conditions such as pressure and temperature.
  • process conditions such as pressure and temperature.
  • slow rates of removal of material deposits from system components in a thermal cleaning process can require long cleaning times and result in unacceptable reduction in throughput for the processing system.
  • the cleaning process includes introducing a cleaning gas in a process chamber of the batch-type processing system, forming a plasma by applying power to a system component within the process chamber, exposing a material deposit in the process chamber to the plasma to form a volatile reaction product, and exhausting the reaction product from the process chamber.
  • the system component to which power is applied is selected from a process tube, a substrate holder, and a substrate holder support.
  • the processing system is monitored to determine status of the processing system, and based upon the status from the monitoring, the method includes either continuing the exposing and monitoring or stopping the cleaning process.
  • a batch-type processing system that allows plasma- enhanced cleaning of system components and a system is further provided that allows monitoring the status of the cleaning.
  • the batch-type processing system includes a process chamber containing a material deposit, a system component within the process chamber, a gas injection system configured for introducing a cleaning gas in the process chamber, a plasma source configured for forming a plasma by applying power to the system component, wherein the plasma is capable of reacting with the material deposit to form a volatile reaction product, a vacuum pumping system configured for exhausting the reaction product from the process chamber, and a controller configured to control the processing system.
  • the system component to which power is applied is selected from a process tube, a substrate holder, and a substrate holder support.
  • the plasma processing system includes a chamber monitoring system configured for monitoring the process chamber to determine the status of the process chamber and to transmit the status to the controller, which is further configured to receive the status and control the processing system in response to the status.
  • the chamber monitoring system can include an optical monitoring system for detecting light emission or light absorption of a gas in the process chamber, an optical monitoring system for detecting interaction of light with the system component and/or the material deposit, or a mass sensor to detect a mass signal from a gas.
  • FIG. 1 A shows a schematic diagram of a processing system in accordance with an embodiment of the invention
  • FIG. 1 B shows a schematic diagram of another processing system in accordance with an embodiment of the invention
  • FIG. 2 is a flowchart showing a method of plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention
  • FIG. 3 is a flowchart showing a method of monitoring and controlling the plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention.
  • FIG. 4 is a graph showing signal intensity as a function of processing time for monitoring status of a batch-type processing system in accordance with an embodiment of the invention.
  • FIG. 1A shows a schematic diagram of a processing system according to an embodiment of the invention.
  • the batch-type processing system 100 is a thermal or plasma processing system capable of sustaining a plasma.
  • the batch-type processing system 100 contains a process chamber 102, a gas injection system 104, a heater 122, a vacuum pumping system 106, a chamber monitoring system 108, and a controller 124.
  • Multiple substrates 110 can be loaded into the process chamber 102 and processed using substrate holder 112, which may be supported by a substrate holder support 126.
  • the substrate holder 112 can, for example, contain silicon carbide (SiC), doped silicon, SiC-coated graphite, and Si-coated graphite.
  • the process chamber 102 comprises an outer section 114 and an inner section 116.
  • the inner section 116 can be a process tube.
  • the process tube can, for example, contain quartz or SiC.
  • the gas injection system 104 can introduce gases into the process chamber 102 for purging the process chamber 102, for preparing the process chamber 102, for cleaning the process chamber 102, and for processing the substrates 110.
  • a plurality of gas injector lines (not shown) can be arranged to flow gases into the process chamber 102.
  • the gases can be introduced into volume 118, defined by the inner section 116, to expose substrates 110 thereto. Thereafter, the gases can flow into the volume 120, defined by the inner section 116 and the outer section 114, to be continuously exhausted from the process chamber 102 by the vacuum pumping system 106.
  • Substrates 110 can be loaded into the process chamber 102 and processed using the substrate holder 112.
  • the batch-type processing system 100 can allow for a large number of tightly stacked substrates 110 to be processed, thereby resulting in high substrate throughput.
  • a substrate batch size can, for example, be about 150, substrates (wafers), or less. Alternately, the batch size can be about 25 substrates, or less.
  • the processing system 100 can be configured to process substrates of various sizes, for example 200mm substrates, 300mm substrates, or larger substrates.
  • the substrates 110 can, for example, comprise semiconductor substrates (e.g., Si or compound semiconductor), LCD substrates, and glass substrates. In addition to clean substrates, substrates at various stages of device processing can be utilized.
  • the processing system 100 is capable of forming and sustaining a plasma in the process chamber 102.
  • the substrate holder 112 and/or the substrate holder support 126 can further serve as an electrode through which radio frequency (RF) power is coupled to provide a plasma in the process chamber 102.
  • RF radio frequency
  • the substrate holder 112 can be electrically biased at a RF voltage via the transmission of RF power from an RF generator 98 through an impedance match network 96.
  • the RF bias serves to form and maintain plasma in the processing region within the grounded inner section 116 and outer section 114.
  • plasma can be utilized to either deposit material to substrates 110 in a deposition process, etch substrates 110, condition the process chamber 102, or to remove material deposits from the process chamber 102 in a cleaning process.
  • a typical frequency for the RF bias ranges from 1 MHz to 100 MHz and can be 13.56 MHz.
  • RF power can be applied to the substrate holder 112 and/or the substrate holder support 126 at multiple frequencies.
  • the impedance match network 96 serves to maximize the transfer of RF power to plasma in processing chamber 102 by minimizing the reflected power.
  • Match network topologies e.g., L-type, ⁇ -type, T-type
  • automatic control methods are known in the art.
  • RF power can be applied to multiple sections of the substrate holder 112 and/or the substrate holder support 126.
  • the substrate holder 112 can contain multiple dummy wafers (e.g., SiC substrates) to tailor the plasma density in the process chamber 102.
  • the substrate holder 112 and/or the substrate holder support 126 may be grounded and the RF power coupled to the inner section 116 to provide the plasma.
  • the batch-type processing system 100 can be controlled by a controller 124 capable of generating control voltages sufficient to control the batch-type processing system 100 as well as monitor outputs from the batch-type processing system 100.
  • the controller 124 can be coupled to and exchange information with process chamber 102, gas injection system 104, heater 122, chamber monitoring system 108, RF source 98, match network 96, and vacuum pumping system 106.
  • a program stored in the memory of the controller 124 can be utilized to control the aforementioned components of the batch-type processing system 100 according to a desired process, and to perform any functions associated with monitoring the process.
  • controller 124 is a DELL PRECISION WORKSTATION 610TM, available from Dell Corporation, Austin, Texas.
  • Real-time process monitoring can be carried out using chamber monitoring system 108.
  • the chamber monitoring system 108 can be positioned for real-time in-situ monitoring of the gaseous environment in the process chamber 120. Alternately, the chamber monitoring system 108 can be positioned to monitor the process chamber effluent.
  • the chamber monitoring system 108 is a versatile monitoring system and includes a sensor capable of real-time process monitoring and may, for example, be a mass sensor (mass spectrometer) or an optical monitoring system for monitoring light emission or light absorption by a process gas and reaction products.
  • the chamber monitoring system 108 can provide qualitative and quantitative analysis of the gaseous environment in process chamber 102.
  • Process parameters that can be monitored using the chamber monitoring system 108 include ratios of gaseous species, gas purities, and reaction products including etch products.
  • the chamber monitoring system 108 can include an optical monitoring system for monitoring interaction (reflection and/or transmission) of light with a system component and/or a material deposit.
  • FIG. 1B shows a schematic diagram of a processing system 1 in accordance with another embodiment of the invention.
  • the batch-type processing system 1 is a processing system capable of sustaining a plasma.
  • the batch-type processing system 1 contains a process chamber 10 with a process tube 25 therein that has an upper end 23 connected to an exhaust pipe 80, and a lower end 24 hermetically joined to a lid 27 of a cylindrical manifold 2, which includes those components of system 1 residing within process tube 25 and removable therefrom, as well as the lid 27 and its operating components.
  • the exhaust pipe 80 continuously discharges gases from the process tube 25 to a vacuum pumping system 88, discussed in further detail below, to maintain a predetermined atmospheric or below atmospheric pressure in the processing system 1.
  • a substrate holder 35 for holding a plurality of substrates (wafers) 40 in a tier-like manner (in respective horizontal planes at vertical intervals) is placed in the process tube 25.
  • the substrate holder or boat 35 resides on a turntable 26 that is mounted on a rotatable shaft 21 penetrating the lid 27 and driven by a motor 28. Together, shaft 21 and turntable 26 provide an alternative substrate holder support to that shown in FIG. 1A.
  • the turntable 26 can be rotated during processing to improve overall film uniformity or, alternately, the turntable 26 can be stationary during processing.
  • the lid 27 is mounted on an elevator 22 for transferring the substrate holder 35 in and out of the process tube 25. When the lid 27 is positioned at its uppermost position, as shown in FIG. 1B, the lid 27 is adapted to close the open end of the manifold 2.
  • the processing system 1 can further include a cap cover (not shown) to protect the lid 27 from the processing environment.
  • the cap cover can, for example, be made of quartz or SiC.
  • the processing system 1 is capable of forming and maintaining a plasma in the process chamber 10.
  • the substrate holder support (shaft 21 and turntable 26) and/or substrate holder 35 can further serve as an electrode through which radio frequency (RF) power is coupled to plasma in the process chamber 10.
  • RF radio frequency
  • the substrate holder 35 or its support can be electrically biased at a RF voltage via the transmission of RF power from an RF generator 78 through an impedance match network 76.
  • the RF bias forms and maintains plasma in the processing region within the grounded process tube 25.
  • the RF bias can be applied to the process tube 25, and the substrate holder 35 and/or substrate holder support (shaft 21 and turntable 26) are grounded.
  • a typical frequency for the RF bias ranges from 1 MHz to 100 MHz and can be 13.56 MHz.
  • RF power can be applied at multiple frequencies.
  • the impedance match network 76 serves to maximize the transfer of RF power to plasma in processing chamber 10 by minimizing the reflected power.
  • a plurality of gas injector lines 45 can be arranged around the manifold 2 to supply a plurality of gases into the process tube 25 through the gas injector lines 45.
  • FIG. 1 only one gas injector line 45 among the plurality of possible gas injector lines is shown.
  • the gas injector line 45 is connected to a gas injection system 94.
  • the process chamber 10 has a mirror-finished inner surface 30 to suppress dissipation of radiation heat radiated by main heater 20, bottom heater 65, top heater 15, and exhaust pipe heater 70.
  • a helical cooling water passage (not shown) can be formed within the wall of process chamber 10 as a cooling medium passage.
  • the vacuum pumping system 88 comprises a vacuum pump 86, a trap 84, and an automatic pressure controller (APC) 82.
  • the vacuum pump 86 can, for example, include a dry vacuum pump capable of a pumping speed up to 20,000 liters per second (and greater).
  • gases can be introduced into the process chamber 10 via the gas injection system 94 and the process pressure adjusted by the APC 82.
  • the trap 84 can collect unreacted precursor material and reaction products from the process chamber 10.
  • the processing system 1 in FIG. 1 B includes chamber monitoring system 92 having a sensor 75 capable of real-time process monitoring and may, for example, be a mass sensor or an optical monitoring system.
  • a controller 90 includes a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the processing system 1 as well as monitor outputs from the processing system 1. Moreover, the controller 90 is coupled to and can exchange information with gas injection system 94, motor 28, chamber monitoring system 92, heaters 20, 15, 65, and 70, vacuum pumping system 88, RF source 78, and match network 76.
  • processing systems 100,1 in FIGS. 1A, 1 B are for exemplary purposes only, as many variations of the specific hardware and software can be used to implement systems in which the present invention may be practiced, and these variations will be readily apparent to one having ordinary skill in the art.
  • the processing systems 100, 1 in FIGS. 1A.1 B have system components that can erode or become coated with material deposits during processing.
  • a system component may include any or all parts of the processing system that are exposed to a processing environment. Examples of system components include a process tube, a shield, a ring, a baffle, a gas injector, a wafer boat (substrate holder), a substrate holder support, a cap cover, and a liner.
  • the power to form the plasma may be applied to any of these system components within the processing chamber.
  • power is applied to at least one of the process tube, the substrate holder, or the substrate holder support.
  • the system components can be manufactured from a variety of materials, for example ceramic materials such as oxides (e.g., quartz (Si0 2 ) and alumina (Al 2 0 3 )), nitrides (e.g., silicon nitride (SiN)), carbides (e.g., silicon carbide (SiC)), metals (e.g., Al), and metal- containing materials (e.g., steel).
  • ceramic materials such as oxides (e.g., quartz (Si0 2 ) and alumina (Al 2 0 3 )), nitrides (e.g., silicon nitride (SiN)), carbides (e.g., silicon carbide (SiC)), metals (e.g., Al), and metal- containing materials (
  • a system component can be constructed from a single type of material or, alternately, it can be constructed from more than one type of material.
  • Processing of substrates can lead to formation of a material deposit on a surface, such as a surface of a system component in a process chamber.
  • the material deposit can contain one or more types of material, for example Si, silicon germanium (SiGe), SiN, silicon dioxide (Si0 2 ), doped Si, and dielectric materials including high-k metal oxides such as Hf0 2 , HfSiO x , Zr0 2 , ZrSiO x .
  • a method for plasma- enhanced cleaning of a material deposit from system components.
  • Plasma excitation of a cleaning gas can enhance the formation of a volatile reaction product when the plasma or activated cleaning gas is exposed to a material deposit.
  • the material deposit can be Si-containing and the cleaning gas can contain a halogen-containing gas (e.g., CIF 3 , HF, HCI, F 2 , NF 3 , CF 4 ).
  • the volatile reaction product can, for example, be a silicon halide (e.g., SiF , SiCI 4 , and SiBr 4 ) or a silicon oxyhalide (e.g., Si x O y X z , where X is F, CI, or Br).
  • the cleaning gas can further contain an inert gas selected from at least one of Ar, He, Ne, Kr, Xe, and N 2 .
  • the cleaning process is monitored by a chamber monitoring system, where the monitoring can include determining if the intensity level of a monitored signal has reached a threshold value, thereby arriving at a determination of whether the system component has been sufficiently cleaned, and based on the determination, either continuing with the cleaning process or stopping the cleaning process.
  • the cleaning process can be optimized to be selective to removing the material deposits from the system components while minimizing erosion of the system components.
  • FIG. 2 is a flowchart showing a method of plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention.
  • the process 200 may be a cleaning process that is performed in a process chamber and affects the cleaning status of a system component, which includes any component within the process chamber.
  • the process is started.
  • a cleaning gas is introduced in a process chamber of a batch- type processing system.
  • plasma is formed by applying power to a system component within the process chamber, and in an exemplary embodiment, that system component is at least one of the process tube, the substrate holder, or the substrate holder support.
  • a material deposit in the process chamber is exposed to the plasma to form a volatile reaction product. The material deposit may be on the same and/or a different system component than the component to which power is supplied.
  • the reaction product is exhausted from the processing system, and at 212, the process is stopped.
  • FIG. 3 is a flowchart showing a method of monitoring and controlling the plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention.
  • the process 300 may be the monitoring and controlling of the cleaning process 200 that is described above.
  • the process is started.
  • the processing system is monitored by a chamber monitoring system.
  • the monitoring can, for example, be based on a light emission signal or a light absorption signal from a gas in the processing environment or a mass signal from the cleaning gas and/or a reaction product.
  • the monitoring can also be based on the properties of the material deposits being cleaned, such as the opacity of the films.
  • a material deposit is removed from the process chamber (including from system components and other surfaces within the process chamber). This can alter the signal from a reaction product as the process continues in the process chamber. This change in the signal can be detected by the chamber monitoring system and correlated to the status of the process chamber. In 306, if the detected signal intensity from a cleaning gas or a reaction product has not reached a threshold value, the process 200 is continued in 304, or if the signal in 306 has reached a threshold value, a decision is made in 308 whether to continue the process 200 or to stop the process in 310.
  • a signal intensity from a reaction product can be monitored to determine an endpoint of a process. Correlation of a signal intensity to an endpoint of a process can be carried out by a test process that is performed while detecting a signal intensity and monitoring status of a process chamber. Status of a process chamber can, for example, be evaluated by inspecting a system component during the test process and correlating the inspected results to a detected threshold intensity recorded when a desired endpoint of the process is observed.
  • the threshold intensity may be a fixed intensity value, or a ratio of measured signal intensity and initial signal intensity (measured at the start of the process).
  • FIG. 4 is a graph showing signal intensity as a function of processing time for monitoring status of a batch-type processing system in accordance with an embodiment of the invention.
  • the process can, for example, be a cleaning process where a process chamber containing a material deposit is exposed to a cleaning gas.
  • the signal can, for example, be a light emission signal (e.g., F- emission), a light absorption signal, or a mass signal from a Si-containing (e.g., SiF ) reaction product.
  • the signal can include interaction of light (reflection or absorption) with a system component and/or a material deposit on a system component.
  • the detected signal intensity generally decreases as the cleaning process takes place, due to removal of a material deposit from the process chamber and decreasing amount of the material deposit in the process chamber. While the curve 470 in FIG. 4 shows a substantial linear decrease in signal intensity, it is to be understood that the signal intensity curve depends on the characteristics of the cleaning process and may be non-linear. As also seen in FIG. 4, a threshold intensity 480 is detected at time 490 when the system component is known to be at an acceptable clean level for a desired process. It is to be understood that an acceptable clean level may vary depending on the production process to be performed in the chamber.
  • the controller compares at 306 the detected signal intensity with prior stored signal intensity, and determines whether the detected signal intensity has reached the predetermined threshold intensity. When the signal threshold intensity is not yet detected, the monitoring returns to 304, and the process continues. When the threshold signal intensity is detected, a decision is made in 308 whether to continue the process in 304, or to stop the process in 308. Alternatively, the decision in 308 to continue the process may include adjustment of process parameters to enter a second phase of a process, which is then monitored until a second threshold value is reached. For example, temperature and/or cleaning gas concentration could be lowered to effect a gentler cleaning environment.

Abstract

A method for plasma-enhanced cleaning of a system component (21, 25, 26, 35, 94, 104, 112, 116, 126) in a batch-type processing system and a method for monitoring and controlling the cleaning. The cleaning is performed by introducing a cleaning gas in a process chamber (10, 102) of the batch-type processing system (1, 100), forming a plasma by applying power to a system component (21, 25, 26, 35, 94, 104, 112, 116, 126) within the process chamber (10, 102), exposing a material deposit in the process chamber (10, 102) to the plasma to form a volatile reaction product, and exhausting the reaction product from the processing system (1, 100). Monitoring of the processing system (1, 100) can be carried out to determine cleaning status of the processing system (1, 100) and based upon the status from the monitoring, the processing system (1, 100) is controlled for either continuing the exposing and monitoring or stopping the cleaning process. A batch-type processing system (1, 100) is provided that allows plasma-enhanced cleaning of system components (21, 25, 26, 35, 94, 104, 112, 116, 126), and a system (1, 100) is provided with monitoring and controlling capability.

Description

TITLE OF THE INVENTION
METHOD AND PROCESSING SYSTEM FOR PLASMA-ENHANCED CLEANING OF SYSTEM COMPONENTS
FIELD OF THE INVENTION
[0001] The present invention relates to chamber processing, and more particularly to plasma-enhanced cleaning of system components during a cleaning process performed in a process chamber of a batch-type processing system.
BACKGROUND OF THE INVENTION
[0002] Many semiconductor production processes are performed in process chambers such as plasma etch chambers, plasma deposition chambers, thermal processing chambers, chemical vapor deposition chambers, atomic layer deposition chambers, etc. Processing of substrates in the process chambers can lead to formation of material deposits on system components exposed to the process environment that requires periodic cleaning of the process chambers to remove the material deposits. System components are commonly replaced or cleaned after material deposits threaten particle problems, in between incompatible processes to be run in sequence, after detrimental processing conditions, or after poor processing results are observed. Alternately, system components can be cleaned or replaced according to a predetermined maintenance schedule that can, for example, be based on the number of operating hours or accumulated depositions.
[0003] The length of a cleaning process and equipment damage from over- cleaning can depend on the type of processing system, (e.g., batch-type vs. single wafer), amount and type of material deposits formed on the system components, the cleaning gas used for removing the material deposits, and process conditions such as pressure and temperature. In a batch-type processing system, slow rates of removal of material deposits from system components in a thermal cleaning process can require long cleaning times and result in unacceptable reduction in throughput for the processing system.
SUMMARY OF THE INVENTION
[0004] A method is provided for plasma-enhanced cleaning of a batch-type processing system and a method is further provided for monitoring the process system to determine when to stop the cleaning process. The cleaning process includes introducing a cleaning gas in a process chamber of the batch-type processing system, forming a plasma by applying power to a system component within the process chamber, exposing a material deposit in the process chamber to the plasma to form a volatile reaction product, and exhausting the reaction product from the process chamber. In one embodiment, the system component to which power is applied is selected from a process tube, a substrate holder, and a substrate holder support. In another embodiment, during the cleaning process, the processing system is monitored to determine status of the processing system, and based upon the status from the monitoring, the method includes either continuing the exposing and monitoring or stopping the cleaning process.
[0005] A batch-type processing system is provided that allows plasma- enhanced cleaning of system components and a system is further provided that allows monitoring the status of the cleaning. The batch-type processing system includes a process chamber containing a material deposit, a system component within the process chamber, a gas injection system configured for introducing a cleaning gas in the process chamber, a plasma source configured for forming a plasma by applying power to the system component, wherein the plasma is capable of reacting with the material deposit to form a volatile reaction product, a vacuum pumping system configured for exhausting the reaction product from the process chamber, and a controller configured to control the processing system. In one embodiment, the system component to which power is applied is selected from a process tube, a substrate holder, and a substrate holder support. In another embodiment, the plasma processing system includes a chamber monitoring system configured for monitoring the process chamber to determine the status of the process chamber and to transmit the status to the controller, which is further configured to receive the status and control the processing system in response to the status.
[0006] The chamber monitoring system can include an optical monitoring system for detecting light emission or light absorption of a gas in the process chamber, an optical monitoring system for detecting interaction of light with the system component and/or the material deposit, or a mass sensor to detect a mass signal from a gas.
BRIEF DESCRIPTION OF THE DRAWINGS
[0007] In the figures:
[0008] FIG. 1 A shows a schematic diagram of a processing system in accordance with an embodiment of the invention;
[0009] FIG. 1 B shows a schematic diagram of another processing system in accordance with an embodiment of the invention;
[0010] FIG. 2 is a flowchart showing a method of plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention;
[0011] FIG. 3 is a flowchart showing a method of monitoring and controlling the plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention; and
[0012] FIG. 4 is a graph showing signal intensity as a function of processing time for monitoring status of a batch-type processing system in accordance with an embodiment of the invention.
DETAILED DESCRIPTION OF EMBODIMENTS OF THE INVENTION
[0013] FIG. 1A shows a schematic diagram of a processing system according to an embodiment of the invention. The batch-type processing system 100 is a thermal or plasma processing system capable of sustaining a plasma. As illustrated in FIG. 1A, the batch-type processing system 100 contains a process chamber 102, a gas injection system 104, a heater 122, a vacuum pumping system 106, a chamber monitoring system 108, and a controller 124. Multiple substrates 110 can be loaded into the process chamber 102 and processed using substrate holder 112, which may be supported by a substrate holder support 126. The substrate holder 112 can, for example, contain silicon carbide (SiC), doped silicon, SiC-coated graphite, and Si-coated graphite. Furthermore, the process chamber 102 comprises an outer section 114 and an inner section 116. In one embodiment of the invention, the inner section 116 can be a process tube. The process tube can, for example, contain quartz or SiC.
[0014] The gas injection system 104 can introduce gases into the process chamber 102 for purging the process chamber 102, for preparing the process chamber 102, for cleaning the process chamber 102, and for processing the substrates 110. A plurality of gas injector lines (not shown) can be arranged to flow gases into the process chamber 102. The gases can be introduced into volume 118, defined by the inner section 116, to expose substrates 110 thereto. Thereafter, the gases can flow into the volume 120, defined by the inner section 116 and the outer section 114, to be continuously exhausted from the process chamber 102 by the vacuum pumping system 106.
[0015] Substrates 110 can be loaded into the process chamber 102 and processed using the substrate holder 112. The batch-type processing system 100 can allow for a large number of tightly stacked substrates 110 to be processed, thereby resulting in high substrate throughput. A substrate batch size can, for example, be about 150, substrates (wafers), or less. Alternately, the batch size can be about 25 substrates, or less. The processing system 100 can be configured to process substrates of various sizes, for example 200mm substrates, 300mm substrates, or larger substrates. The substrates 110 can, for example, comprise semiconductor substrates (e.g., Si or compound semiconductor), LCD substrates, and glass substrates. In addition to clean substrates, substrates at various stages of device processing can be utilized.
[0016] The processing system 100 is capable of forming and sustaining a plasma in the process chamber 102. In the embodiment shown in FIG. 1A, the substrate holder 112 and/or the substrate holder support 126 can further serve as an electrode through which radio frequency (RF) power is coupled to provide a plasma in the process chamber 102. For example, the substrate holder 112 can be electrically biased at a RF voltage via the transmission of RF power from an RF generator 98 through an impedance match network 96. The RF bias serves to form and maintain plasma in the processing region within the grounded inner section 116 and outer section 114. In this configuration, plasma can be utilized to either deposit material to substrates 110 in a deposition process, etch substrates 110, condition the process chamber 102, or to remove material deposits from the process chamber 102 in a cleaning process. A typical frequency for the RF bias ranges from 1 MHz to 100 MHz and can be 13.56 MHz.
[0017] In an alternate embodiment of the invention, RF power can be applied to the substrate holder 112 and/or the substrate holder support 126 at multiple frequencies. Furthermore, the impedance match network 96 serves to maximize the transfer of RF power to plasma in processing chamber 102 by minimizing the reflected power. Match network topologies (e.g., L-type, π-type, T-type) and automatic control methods are known in the art.
[0018] In another embodiment of the invention, RF power can be applied to multiple sections of the substrate holder 112 and/or the substrate holder support 126. In addition, the substrate holder 112 can contain multiple dummy wafers (e.g., SiC substrates) to tailor the plasma density in the process chamber 102. [0019] In another embodiment of the invention, the substrate holder 112 and/or the substrate holder support 126 may be grounded and the RF power coupled to the inner section 116 to provide the plasma.
[0020] The batch-type processing system 100 can be controlled by a controller 124 capable of generating control voltages sufficient to control the batch-type processing system 100 as well as monitor outputs from the batch-type processing system 100. Moreover, the controller 124 can be coupled to and exchange information with process chamber 102, gas injection system 104, heater 122, chamber monitoring system 108, RF source 98, match network 96, and vacuum pumping system 106. For example, a program stored in the memory of the controller 124 can be utilized to control the aforementioned components of the batch-type processing system 100 according to a desired process, and to perform any functions associated with monitoring the process. One example of controller 124 is a DELL PRECISION WORKSTATION 610™, available from Dell Corporation, Austin, Texas.
[0021] Real-time process monitoring can be carried out using chamber monitoring system 108. In accordance with the present invention, the chamber monitoring system 108 can be positioned for real-time in-situ monitoring of the gaseous environment in the process chamber 120. Alternately, the chamber monitoring system 108 can be positioned to monitor the process chamber effluent. The chamber monitoring system 108 is a versatile monitoring system and includes a sensor capable of real-time process monitoring and may, for example, be a mass sensor (mass spectrometer) or an optical monitoring system for monitoring light emission or light absorption by a process gas and reaction products. The chamber monitoring system 108 can provide qualitative and quantitative analysis of the gaseous environment in process chamber 102. Process parameters that can be monitored using the chamber monitoring system 108 include ratios of gaseous species, gas purities, and reaction products including etch products. [0022] In one embodiment of the invention, the chamber monitoring system 108 can include an optical monitoring system for monitoring interaction (reflection and/or transmission) of light with a system component and/or a material deposit.
[0023] FIG. 1B shows a schematic diagram of a processing system 1 in accordance with another embodiment of the invention. The batch-type processing system 1 is a processing system capable of sustaining a plasma. The batch-type processing system 1 contains a process chamber 10 with a process tube 25 therein that has an upper end 23 connected to an exhaust pipe 80, and a lower end 24 hermetically joined to a lid 27 of a cylindrical manifold 2, which includes those components of system 1 residing within process tube 25 and removable therefrom, as well as the lid 27 and its operating components. The exhaust pipe 80 continuously discharges gases from the process tube 25 to a vacuum pumping system 88, discussed in further detail below, to maintain a predetermined atmospheric or below atmospheric pressure in the processing system 1. A substrate holder 35 for holding a plurality of substrates (wafers) 40 in a tier-like manner (in respective horizontal planes at vertical intervals) is placed in the process tube 25. The substrate holder or boat 35 resides on a turntable 26 that is mounted on a rotatable shaft 21 penetrating the lid 27 and driven by a motor 28. Together, shaft 21 and turntable 26 provide an alternative substrate holder support to that shown in FIG. 1A. The turntable 26 can be rotated during processing to improve overall film uniformity or, alternately, the turntable 26 can be stationary during processing. The lid 27 is mounted on an elevator 22 for transferring the substrate holder 35 in and out of the process tube 25. When the lid 27 is positioned at its uppermost position, as shown in FIG. 1B, the lid 27 is adapted to close the open end of the manifold 2.
[0024] The processing system 1 can further include a cap cover (not shown) to protect the lid 27 from the processing environment. The cap cover can, for example, be made of quartz or SiC.
[0025] The processing system 1 is capable of forming and maintaining a plasma in the process chamber 10. In the embodiment shown in FIG. 1 , the substrate holder support (shaft 21 and turntable 26) and/or substrate holder 35 can further serve as an electrode through which radio frequency (RF) power is coupled to plasma in the process chamber 10. For example, the substrate holder 35 or its support can be electrically biased at a RF voltage via the transmission of RF power from an RF generator 78 through an impedance match network 76. The RF bias forms and maintains plasma in the processing region within the grounded process tube 25. Alternatively, the RF bias can be applied to the process tube 25, and the substrate holder 35 and/or substrate holder support (shaft 21 and turntable 26) are grounded. A typical frequency for the RF bias ranges from 1 MHz to 100 MHz and can be 13.56 MHz.
[0026] In an alternate embodiment of the invention, RF power can be applied at multiple frequencies. Furthermore, the impedance match network 76 serves to maximize the transfer of RF power to plasma in processing chamber 10 by minimizing the reflected power.
[0027] A plurality of gas injector lines 45 can be arranged around the manifold 2 to supply a plurality of gases into the process tube 25 through the gas injector lines 45. In FIG. 1 , only one gas injector line 45 among the plurality of possible gas injector lines is shown. The gas injector line 45 is connected to a gas injection system 94. The process chamber 10 has a mirror-finished inner surface 30 to suppress dissipation of radiation heat radiated by main heater 20, bottom heater 65, top heater 15, and exhaust pipe heater 70. A helical cooling water passage (not shown) can be formed within the wall of process chamber 10 as a cooling medium passage.
[0028] The vacuum pumping system 88 comprises a vacuum pump 86, a trap 84, and an automatic pressure controller (APC) 82. The vacuum pump 86 can, for example, include a dry vacuum pump capable of a pumping speed up to 20,000 liters per second (and greater). During processing, gases can be introduced into the process chamber 10 via the gas injection system 94 and the process pressure adjusted by the APC 82. The trap 84 can collect unreacted precursor material and reaction products from the process chamber 10. [0029] Analogous to the processing system 100 in FIG. 1A, the processing system 1 in FIG. 1 B includes chamber monitoring system 92 having a sensor 75 capable of real-time process monitoring and may, for example, be a mass sensor or an optical monitoring system.
[0030] A controller 90 includes a microprocessor, a memory, and a digital I/O port capable of generating control voltages sufficient to communicate and activate inputs to the processing system 1 as well as monitor outputs from the processing system 1. Moreover, the controller 90 is coupled to and can exchange information with gas injection system 94, motor 28, chamber monitoring system 92, heaters 20, 15, 65, and 70, vacuum pumping system 88, RF source 78, and match network 76.
[0031] It is to be understood that the processing systems 100,1 in FIGS. 1A, 1 B are for exemplary purposes only, as many variations of the specific hardware and software can be used to implement systems in which the present invention may be practiced, and these variations will be readily apparent to one having ordinary skill in the art. The processing systems 100, 1 in FIGS. 1A.1 B have system components that can erode or become coated with material deposits during processing. A system component may include any or all parts of the processing system that are exposed to a processing environment. Examples of system components include a process tube, a shield, a ring, a baffle, a gas injector, a wafer boat (substrate holder), a substrate holder support, a cap cover, and a liner. The power to form the plasma may be applied to any of these system components within the processing chamber. In an exemplary embodiment, power is applied to at least one of the process tube, the substrate holder, or the substrate holder support. The system components can be manufactured from a variety of materials, for example ceramic materials such as oxides (e.g., quartz (Si02) and alumina (Al203)), nitrides (e.g., silicon nitride (SiN)), carbides (e.g., silicon carbide (SiC)), metals (e.g., Al), and metal- containing materials (e.g., steel). A system component can be constructed from a single type of material or, alternately, it can be constructed from more than one type of material. [0032] Processing of substrates can lead to formation of a material deposit on a surface, such as a surface of a system component in a process chamber. The material deposit can contain one or more types of material, for example Si, silicon germanium (SiGe), SiN, silicon dioxide (Si02), doped Si, and dielectric materials including high-k metal oxides such as Hf02, HfSiOx, Zr02, ZrSiOx.
[0033] In one embodiment of the invention, a method is provided for plasma- enhanced cleaning of a material deposit from system components. Plasma excitation of a cleaning gas can enhance the formation of a volatile reaction product when the plasma or activated cleaning gas is exposed to a material deposit. For example, the material deposit can be Si-containing and the cleaning gas can contain a halogen-containing gas (e.g., CIF3, HF, HCI, F2, NF3, CF4). The volatile reaction product can, for example, be a silicon halide (e.g., SiF , SiCI4, and SiBr4) or a silicon oxyhalide (e.g., SixOyXz, where X is F, CI, or Br). The cleaning gas can further contain an inert gas selected from at least one of Ar, He, Ne, Kr, Xe, and N2.
[0034] The cleaning process is monitored by a chamber monitoring system, where the monitoring can include determining if the intensity level of a monitored signal has reached a threshold value, thereby arriving at a determination of whether the system component has been sufficiently cleaned, and based on the determination, either continuing with the cleaning process or stopping the cleaning process. The cleaning process can be optimized to be selective to removing the material deposits from the system components while minimizing erosion of the system components.
[0035] FIG. 2 is a flowchart showing a method of plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention. The process 200 may be a cleaning process that is performed in a process chamber and affects the cleaning status of a system component, which includes any component within the process chamber. At 202, the process is started. At 204, a cleaning gas is introduced in a process chamber of a batch- type processing system. At 206, plasma is formed by applying power to a system component within the process chamber, and in an exemplary embodiment, that system component is at least one of the process tube, the substrate holder, or the substrate holder support. At 208, a material deposit in the process chamber is exposed to the plasma to form a volatile reaction product. The material deposit may be on the same and/or a different system component than the component to which power is supplied. At 210, the reaction product is exhausted from the processing system, and at 212, the process is stopped.
[0036] FIG. 3 is a flowchart showing a method of monitoring and controlling the plasma-enhanced cleaning of a batch-type processing system in accordance with an embodiment of the invention. The process 300 may be the monitoring and controlling of the cleaning process 200 that is described above. At 302, the process is started. At 304, the processing system is monitored by a chamber monitoring system. The monitoring can, for example, be based on a light emission signal or a light absorption signal from a gas in the processing environment or a mass signal from the cleaning gas and/or a reaction product. The monitoring can also be based on the properties of the material deposits being cleaned, such as the opacity of the films. During the cleaning process, a material deposit is removed from the process chamber (including from system components and other surfaces within the process chamber). This can alter the signal from a reaction product as the process continues in the process chamber. This change in the signal can be detected by the chamber monitoring system and correlated to the status of the process chamber. In 306, if the detected signal intensity from a cleaning gas or a reaction product has not reached a threshold value, the process 200 is continued in 304, or if the signal in 306 has reached a threshold value, a decision is made in 308 whether to continue the process 200 or to stop the process in 310.
[0037] A signal intensity from a reaction product can be monitored to determine an endpoint of a process. Correlation of a signal intensity to an endpoint of a process can be carried out by a test process that is performed while detecting a signal intensity and monitoring status of a process chamber. Status of a process chamber can, for example, be evaluated by inspecting a system component during the test process and correlating the inspected results to a detected threshold intensity recorded when a desired endpoint of the process is observed. The threshold intensity may be a fixed intensity value, or a ratio of measured signal intensity and initial signal intensity (measured at the start of the process).
[0038] FIG. 4 is a graph showing signal intensity as a function of processing time for monitoring status of a batch-type processing system in accordance with an embodiment of the invention. The process can, for example, be a cleaning process where a process chamber containing a material deposit is exposed to a cleaning gas. The signal can, for example, be a light emission signal (e.g., F- emission), a light absorption signal, or a mass signal from a Si-containing (e.g., SiF ) reaction product. Alternatively, the signal can include interaction of light (reflection or absorption) with a system component and/or a material deposit on a system component. As seen by the curve 470, the detected signal intensity generally decreases as the cleaning process takes place, due to removal of a material deposit from the process chamber and decreasing amount of the material deposit in the process chamber. While the curve 470 in FIG. 4 shows a substantial linear decrease in signal intensity, it is to be understood that the signal intensity curve depends on the characteristics of the cleaning process and may be non-linear. As also seen in FIG. 4, a threshold intensity 480 is detected at time 490 when the system component is known to be at an acceptable clean level for a desired process. It is to be understood that an acceptable clean level may vary depending on the production process to be performed in the chamber.
[0039] Returning to FIG. 3, as the signal intensity is detected at 304 during the process, the controller compares at 306 the detected signal intensity with prior stored signal intensity, and determines whether the detected signal intensity has reached the predetermined threshold intensity. When the signal threshold intensity is not yet detected, the monitoring returns to 304, and the process continues. When the threshold signal intensity is detected, a decision is made in 308 whether to continue the process in 304, or to stop the process in 308. Alternatively, the decision in 308 to continue the process may include adjustment of process parameters to enter a second phase of a process, which is then monitored until a second threshold value is reached. For example, temperature and/or cleaning gas concentration could be lowered to effect a gentler cleaning environment.
[0040] Obviously, numerous modifications and variations of the present invention are possible in light of the above teachings. It is therefore to be understood that within the scope of the appended claims, the invention may be practiced otherwise that is specifically described herein. For example, the process steps described herein and recited in the claims may be performed in a sequence other than the sequence in which they are described or listed herein. As should be understood by one of ordinary skill in the art, only those process steps necessary to the performance of a later process steps are required to be performed before the later process step is performed.

Claims

WHAT IS CLAIMED IS:
1. A method of plasma-enhanced cleaning of a batch-type processing system, the method comprising performing a cleaning process, including: introducing a cleaning gas in a process chamber of the batch-type processing system, the process chamber having a material deposit on at least one surface therein; forming a plasma by applying power to a system component within the process chamber, the system component selected from the group consisting of: a substrate holder for holding a plurality of substrates, a substrate holder support for supporting the substrate holder, or a process tube; exposing the material deposit in the process chamber to the plasma to form a volatile reaction product; and exhausting the reaction product from the process chamber.
2. The method according to claim 1 further comprising monitoring a signal from the processing system, the signal being indicative of the progress of the cleaning process, and based upon the signal, performing one of the following:(a) continue performing the cleaning process and continue monitoring; or (b) stopping the cleaning process.
3. The method according to claim 2 wherein the monitoring further comprises determining if an intensity level of the signal has reached a threshold value.
4. The method according to claim 3, wherein performing (b) occurs after determining that the threshold value has been reached.
5. The method according to claim 2, wherein the monitoring comprises using an optical monitoring system to detect light emission or light absorption of a gas in the process chamber.
6. The method according to claim 2, wherein the monitoring comprises using an optical monitoring system to detect interaction of light with at least one of the system component or the material deposit.
7. The method according to claim 2, wherein the monitoring comprises using a mass sensor to detect a mass signal of a gas in the process chamber.
8. The method according to claim 1 , wherein the introducing further comprises flowing at least one of CIF3, HF, HCI, F2, NF3, and CF4 into the process chamber.
9. The method according to claim 8, wherein the introducing further comprises flowing at least one Ar, He, Ne, Kr, Xe, and N2.
10. A method of plasma-enhanced cleaning of a batch-type processing system, the method comprising: performing a cleaning process, including: introducing a cleaning gas in a process chamber of the batch-type processing system, the process chamber having a material deposit on at least one surface therein, forming a plasma by applying power to a system component within the process chamber, exposing the material deposit in the process chamber to the plasma to form a volatile reaction product, and exhausting the reaction product from the process chamber; monitoring a signal from the processing system, the signal being indicative of the progress of the cleaning process; and based upon the signal, performing one of the following: (a) continue performing the cleaning process and continue monitoring; or (b) stopping the cleaning process.
11. The method according to claim 10 wherein the monitoring further comprises determining if an intensity level of the signal has reached a threshold value.
12. The method according to claim 11 , wherein performing (b) occurs after determining that the threshold value has been reached.
13. The method according to claim 10, wherein the monitoring comprises using an optical monitoring system to detect light emission or light absorption of a gas in the process chamber.
14. The method according to claim 10, wherein the monitoring comprises using an optical monitoring system to detect interaction of light with at least one of the system component or the material deposit.
15. The method according to claim 10, wherein the monitoring comprises using a mass sensor to detect a mass signal of a gas in the process chamber.
16. The method according to claim 10, wherein the introducing further comprises flowing at least one of CIF3, HF, HCI, F2, NF3, and CF4 into the process chamber.
17. The method according to claim 16, wherein the introducing further comprises flowing at least one Ar, He, Ne, Kr, Xe, and N2.
18. A batch-type processing system, comprising: a process chamber containing a material deposit on at least one surface therein; an electrode that is a system component within the process chamber and that is selected from the group consisting of: a substrate holder for holding a plurality of substrates, a substrate holder support for supporting the substrate holder, or a process tube; a gas injection system configured for introducing a cleaning gas in the process chamber; a plasma source configured for forming plasma in the process chamber by applying power to the electrode, wherein the plasma is capable of reacting with the material deposit to form a volatile reaction product; a vacuum pumping system configured for exhausting the reaction product from the process chamber; and a controller configured to control the processing system.
19. The processing system according to claim 18, further comprising a chamber monitoring system configured for monitoring a signal from the processing system to determine cleaning status of the processing system and configured to transmit the status to the controller, and wherein the controller is further configured to receive the status and to control the processing system in response to the status.
20. The processing system according to claim 19, wherein the chamber monitoring system is further configured to determine if an intensity level of the signal has reached a threshold value, and based on the determination, either continue with the process or stop the process.
21. The processing system according to claim 19, wherein the chamber monitoring system comprises an optical monitoring system to detect light emission or light absorption of a gas in the process chamber.
22. The processing system according to claim 19, wherein the chamber monitoring system comprises an optical monitoring system to detect interaction of light with at least one of the system component or the material deposit.
23. The processing system according to claim 19, wherein the chamber monitoring system comprises a mass sensor to detect a mass signal in the process chamber.
24. The processing system according to claim 18, wherein the electrode is the process tube and the plasma source comprises a RF generator and a match network coupled to the process tube.
25. The processing system according to claim 18, wherein the plasma source comprises a RF generator and a match network coupled to the system component within the process tube.
26. The processing system according to claim 18, wherein the plasma source is configured for applying RF power to multiple sections of the substrate holder in the process chamber.
27. The processing system according to claim 26, wherein the substrate holder further contains multiple dummy wafers.
28. The processing system according to claim 18, wherein the system component comprises at least one of quartz, Al20, SiN, and SiC, doped silicon, SiC-coated graphite, and Si-coated graphite.
29. The processing system according to claim 18, wherein the material deposit comprises at least one of Si, SiGe, SiN, Si02) doped Si, Hf02, HfSiOx, Zr02, and ZrSiOx.
30. The processing system according to claim 18, wherein the gas injection system is configured for introducing at least one of CIF3, HF, HCI, F2, NF3, and CF4 in the process chamber.
31. The processing system according to claim 30, wherein the gas injection system is further configured for introducing at least one of Ar, He, Ne, Kr, Xe, and N2 in the process chamber.
32. A batch-type processing system, comprising: a process chamber containing a material deposit on at least one surface therein; a system component within the process chamber; a gas injection system configured for introducing a cleaning gas in the process chamber; a plasma source configured for forming plasma in the process chamber by applying power to the system component, wherein the plasma is capable of reacting with the material deposit to form a volatile reaction product; a vacuum pumping system configured for exhausting the reaction product from the process chamber; a chamber monitoring system configured for monitoring a signal from the processing system to determine cleaning status of the processing system and to transmit the status; and a controller configured to receive the status from the chamber monitoring system and to control the processing system in response to the status.
33. The processing system according to claim 32, wherein the chamber monitoring system is further configured to determine if an intensity level of the signal has reached a threshold value, and based on the determination, either continue with the process or stop the process.
34. The processing system according to claim 32, wherein the chamber monitoring system comprises an optical monitoring system to detect light emission or light absorption of a gas in the process chamber.
35. The processing system according to claim 32, wherein the chamber monitoring system comprises an optical monitoring system to detect interaction of light with at least one of the system component or the material deposit.
36. The processing system according to claim 32, wherein the chamber monitoring system comprises a mass sensor to detect a mass signal in the process chamber.
37. The processing system according to claim 32, wherein the process chamber comprises a process tube and the plasma source comprises a RF generator and a match network coupled to the process tube.
38. The processing system according to claim 32, wherein the process chamber comprises a process tube and the plasma source comprises a RF generator and a match network coupled to the system component within the process tube.
39. The processing system according to claim 32, wherein the plasma source is configured for applying RF power to multiple sections of a substrate holder in the process chamber.
40. The processing system according to claim 39, wherein the substrate holder further contains multiple dummy wafers.
41. The processing system according to claim 32, wherein the system component comprises at least one of a process tube, a shield, a ring, a baffle, a gas injector, a substrate holder, a substrate holder support, a cap cover, and a liner.
42. The processing system according to claim 32, wherein the system component comprises at least one of quartz, Al20, SiN, and SiC, doped silicon, SiC-coated graphite, and Si-coated graphite.
43. The processing system according to claim 32, wherein the material deposit comprises at least one of Si, SiGe, SiN, Si02, doped Si, Hf02) HfSiOX) Zr02, and ZrSiOx.
44. The processing system according to claim 32, wherein the gas injection system is configured for introducing at least one of CIF3, HF, HCI, F2, NF3, and CF in the process chamber.
45. The processing system according to claim 44, wherein the gas injection system is further configured for introducing at least one of Ar, He, Ne, Kr, Xe, and N2 in the process chamber.
PCT/US2005/002460 2004-03-25 2005-01-26 Method and processing system for plasma-enhanced cleaning of system components WO2005104186A2 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2007504946A JP2007531269A (en) 2004-03-25 2005-01-26 Method and apparatus for plasma enhanced screening of components of apparatus

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/808,691 2004-03-25
US10/808,691 US20050211264A1 (en) 2004-03-25 2004-03-25 Method and processing system for plasma-enhanced cleaning of system components

Publications (3)

Publication Number Publication Date
WO2005104186A2 true WO2005104186A2 (en) 2005-11-03
WO2005104186A3 WO2005104186A3 (en) 2006-08-17
WO2005104186B1 WO2005104186B1 (en) 2006-09-28

Family

ID=34960427

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2005/002460 WO2005104186A2 (en) 2004-03-25 2005-01-26 Method and processing system for plasma-enhanced cleaning of system components

Country Status (4)

Country Link
US (1) US20050211264A1 (en)
JP (1) JP2007531269A (en)
TW (1) TW200538565A (en)
WO (1) WO2005104186A2 (en)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006014298A1 (en) * 2006-03-28 2007-10-11 Infineon Technologies Ag Integrated circuit`s structural component manufacturing method, involves performing thermal baking-out process in coating for diffusion of acids into polymer in lacquer such that acids are split up into process-soluble groups
WO2009031520A1 (en) * 2007-09-04 2009-03-12 Sharp Kabushiki Kaisha Plasma treatment apparatus, plasma treatment method, and semiconductor element
US9263078B2 (en) 2008-02-12 2016-02-16 Applied Materials, Inc. Patterning of magnetic thin film using energized ions

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4272486B2 (en) * 2003-08-29 2009-06-03 東京エレクトロン株式会社 Thin film forming apparatus and thin film forming apparatus cleaning method
TWI365919B (en) * 2004-12-28 2012-06-11 Tokyo Electron Ltd Film formation apparatus and method of using the same
JP4426518B2 (en) * 2005-10-11 2010-03-03 東京エレクトロン株式会社 Processing equipment
US20070238301A1 (en) * 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US8343280B2 (en) * 2006-03-28 2013-01-01 Tokyo Electron Limited Multi-zone substrate temperature control system and method of operating
DE202006007937U1 (en) * 2006-05-18 2007-09-20 Strämke, Siegfried, Dr.-Ing. Plasma treatment plant
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
JP2008227033A (en) * 2007-03-12 2008-09-25 Tokyo Electron Ltd Substrate processing apparatus
JP4470970B2 (en) * 2007-07-31 2010-06-02 東京エレクトロン株式会社 Plasma processing equipment
CN102197426B (en) * 2008-10-22 2014-11-05 应用材料公司 Method for patterning a magnetic thin film on a substrate
JP5136574B2 (en) 2009-05-01 2013-02-06 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
JP6476369B2 (en) * 2013-03-25 2019-03-06 株式会社Kokusai Electric Cleaning method, semiconductor device manufacturing method, substrate processing apparatus, and program
WO2016204974A1 (en) * 2015-06-17 2016-12-22 Applied Materials, Inc. Gas control in process chamber
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
JP6956660B2 (en) * 2018-03-19 2021-11-02 東京エレクトロン株式会社 Cleaning method and film forming equipment
FR3112795B1 (en) * 2020-07-22 2023-01-27 Commissariat Energie Atomique PLASMA-ASSISTED VAPOR PHASE CHEMICAL DEPOSITION DEVICE ALLOWING DEPOSITION ON THE EDGES OF THE PLATE
CN215925072U (en) * 2020-09-24 2022-03-01 株式会社国际电气 Substrate processing apparatus
CN113976546A (en) * 2021-09-29 2022-01-28 深圳泰德半导体装备有限公司 Plasma cleaning method and plasma cleaning machine
WO2023156617A1 (en) * 2022-02-17 2023-08-24 Innovative Coating Solutions Plasma coating method and plasma coating system
CN116251803B (en) * 2023-04-12 2023-09-22 东莞市晟鼎精密仪器有限公司 Graphite boat cleaning equipment for cleaning silicon nitride coating based on microwave plasma dry method

Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5886865A (en) * 1998-03-17 1999-03-23 Applied Materials, Inc. Method and apparatus for predicting failure of an eletrostatic chuck
US6174373B1 (en) * 1996-03-29 2001-01-16 Applied Materials, Inc. Non-plasma halogenated gas flow prevent metal residues
US20010029892A1 (en) * 1997-08-11 2001-10-18 Robert C. Cook Vertical plasma enhanced process apparatus & method
US20020007914A1 (en) * 1998-06-26 2002-01-24 Matsushita Electric Industrial Co., Ltd. Etching and cleaning apparatus
WO2002012587A2 (en) * 2000-08-08 2002-02-14 Tokyo Electron Limited Processing apparatus and cleaning method
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
WO2003081216A2 (en) * 2002-03-20 2003-10-02 Tokyo Electron Limited Process monitoring using infrared optical diagnostics
EP1394842A1 (en) * 2001-06-08 2004-03-03 Tokyo Electron Limited Thin film forming apparatus cleaning method

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06103683B2 (en) * 1990-08-07 1994-12-14 株式会社東芝 Electrostatic adsorption method
US5637153A (en) * 1993-04-30 1997-06-10 Tokyo Electron Limited Method of cleaning reaction tube and exhaustion piping system in heat processing apparatus
JPH07176484A (en) * 1993-06-28 1995-07-14 Applied Materials Inc Method of uniformly depositing tungsten silicide on semiconductor wafer by treating suscepter having surface of aluminum nitride after purification of susceptor
US5514246A (en) * 1994-06-02 1996-05-07 Micron Technology, Inc. Plasma reactors and method of cleaning a plasma reactor
US5507874A (en) * 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
JP2501180B2 (en) * 1994-11-07 1996-05-29 株式会社日立製作所 Cleaning method for plasma processing equipment
JPH09171992A (en) * 1995-12-20 1997-06-30 Hitachi Ltd Dry etching apparatus
JP4055319B2 (en) * 2000-02-18 2008-03-05 ソニー株式会社 Manufacturing method of semiconductor device

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6174373B1 (en) * 1996-03-29 2001-01-16 Applied Materials, Inc. Non-plasma halogenated gas flow prevent metal residues
US6534007B1 (en) * 1997-08-01 2003-03-18 Applied Komatsu Technology, Inc. Method and apparatus for detecting the endpoint of a chamber cleaning
US20010029892A1 (en) * 1997-08-11 2001-10-18 Robert C. Cook Vertical plasma enhanced process apparatus & method
US5886865A (en) * 1998-03-17 1999-03-23 Applied Materials, Inc. Method and apparatus for predicting failure of an eletrostatic chuck
US20020007914A1 (en) * 1998-06-26 2002-01-24 Matsushita Electric Industrial Co., Ltd. Etching and cleaning apparatus
WO2002012587A2 (en) * 2000-08-08 2002-02-14 Tokyo Electron Limited Processing apparatus and cleaning method
EP1394842A1 (en) * 2001-06-08 2004-03-03 Tokyo Electron Limited Thin film forming apparatus cleaning method
WO2003081216A2 (en) * 2002-03-20 2003-10-02 Tokyo Electron Limited Process monitoring using infrared optical diagnostics

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
PATENT ABSTRACTS OF JAPAN vol. 1995, no. 10, 30 November 1995 (1995-11-30) -& JP 07 169753 A (HITACHI LTD; others: 01), 4 July 1995 (1995-07-04) *
PATENT ABSTRACTS OF JAPAN vol. 1997, no. 10, 31 October 1997 (1997-10-31) -& JP 09 171992 A (HITACHI LTD), 30 June 1997 (1997-06-30) *

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE102006014298A1 (en) * 2006-03-28 2007-10-11 Infineon Technologies Ag Integrated circuit`s structural component manufacturing method, involves performing thermal baking-out process in coating for diffusion of acids into polymer in lacquer such that acids are split up into process-soluble groups
WO2009031520A1 (en) * 2007-09-04 2009-03-12 Sharp Kabushiki Kaisha Plasma treatment apparatus, plasma treatment method, and semiconductor element
EP2202785A1 (en) * 2007-09-04 2010-06-30 Sharp Kabushiki Kaisha Plasma treatment apparatus, plasma treatment method, and semiconductor element
EP2202785A4 (en) * 2007-09-04 2010-11-10 Sharp Kk Plasma treatment apparatus, plasma treatment method, and semiconductor element
US8395250B2 (en) 2007-09-04 2013-03-12 Kabushiki Kaisha Sharp Plasma processing apparatus with an exhaust port above the substrate
US9263078B2 (en) 2008-02-12 2016-02-16 Applied Materials, Inc. Patterning of magnetic thin film using energized ions

Also Published As

Publication number Publication date
WO2005104186B1 (en) 2006-09-28
US20050211264A1 (en) 2005-09-29
WO2005104186A3 (en) 2006-08-17
TW200538565A (en) 2005-12-01
JP2007531269A (en) 2007-11-01

Similar Documents

Publication Publication Date Title
WO2005104186A2 (en) Method and processing system for plasma-enhanced cleaning of system components
US7479454B2 (en) Method and processing system for monitoring status of system components
KR101057877B1 (en) Plasma cleaning method and plasma CD method
JP3971398B2 (en) Method for cleaning inside thin film forming apparatus by automatic cleaning sequence
US6079426A (en) Method and apparatus for determining the endpoint in a plasma cleaning process
US7456109B2 (en) Method for cleaning substrate processing chamber
US20030097987A1 (en) Plasma CVD apparatus conducting self-cleaning and method of self-cleaning
US7509962B2 (en) Method and control system for treating a hafnium-based dielectric processing system
US7588036B2 (en) Chamber clean method using remote and in situ plasma cleaning systems
US20020185067A1 (en) Apparatus and method for in-situ cleaning of a throttle valve in a CVD system
US7959970B2 (en) System and method of removing chamber residues from a plasma processing system in a dry cleaning process
US20100180913A1 (en) Methods for in-situ chamber cleaning process for high volume manufacture of semiconductor materials
JP2006287228A (en) Semiconductor processor capable of self-cleaning
US8460945B2 (en) Method for monitoring status of system components
KR100264941B1 (en) Using ceramic wafer to protect susceptor during cleaning of a processing chamber
KR100611612B1 (en) Method and apparatus for determining cleaning process end point using throttle valve position
JPH11345778A (en) Method for cleaning film preparing apparatus and mechanism for cleaning the apparatus
US6635144B2 (en) Apparatus and method for detecting an end point of chamber cleaning in semiconductor equipment
JP2001164368A (en) Chamber cleaning method for plasma cvd system and plasma cvd system
WO1999006611A1 (en) Method and apparatus for chamber cleaning

Legal Events

Date Code Title Description
AK Designated states

Kind code of ref document: A2

Designated state(s): AE AG AL AM AT AU AZ BA BB BG BR BW BY BZ CA CH CN CO CR CU CZ DE DK DM DZ EC EE EG ES FI GB GD GE GH GM HR HU ID IL IN IS JP KE KG KP KR KZ LC LK LR LS LT LU LV MA MD MG MK MN MW MX MZ NA NI NO NZ OM PG PH PL PT RO RU SC SD SE SG SK SL SM SY TJ TM TN TR TT TZ UA UG US UZ VC VN YU ZA ZM ZW

AL Designated countries for regional patents

Kind code of ref document: A2

Designated state(s): GM KE LS MW MZ NA SD SL SZ TZ UG ZM ZW AM AZ BY KG KZ MD RU TJ TM AT BE BG CH CY CZ DE DK EE ES FI FR GB GR HU IE IS IT LT LU MC NL PL PT RO SE SI SK TR BF BJ CF CG CI CM GA GN GQ GW ML MR NE SN TD TG

121 Ep: the epo has been informed by wipo that ep was designated in this application
WWE Wipo information: entry into national phase

Ref document number: 2007504946

Country of ref document: JP

NENP Non-entry into the national phase

Ref country code: DE

WWW Wipo information: withdrawn in national office

Country of ref document: DE

122 Ep: pct application non-entry in european phase