TWI773446B - 氮化矽膜之多層沉積及處理 - Google Patents

氮化矽膜之多層沉積及處理 Download PDF

Info

Publication number
TWI773446B
TWI773446B TW110126798A TW110126798A TWI773446B TW I773446 B TWI773446 B TW I773446B TW 110126798 A TW110126798 A TW 110126798A TW 110126798 A TW110126798 A TW 110126798A TW I773446 B TWI773446 B TW I773446B
Authority
TW
Taiwan
Prior art keywords
plasma
silicon nitride
processing
nitride material
deposition
Prior art date
Application number
TW110126798A
Other languages
English (en)
Other versions
TW202219304A (zh
Inventor
維納亞克菲爾 瓦茲
安秉國
李瑞英
航 于
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202219304A publication Critical patent/TW202219304A/zh
Application granted granted Critical
Publication of TWI773446B publication Critical patent/TWI773446B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Abstract

範例處理方法可包括形成含矽和氮前驅物的第一沉積電漿。方法可包括以第一沉積電漿在半導體基板上沉積氮化矽材料的第一部分。含氦和氮前驅物的第一處理電漿可形成以第一處理電漿處理氮化矽材料的第一部分。第二沉積電漿可沉積氮化矽材料的第二部分,且第二處理電漿可處理氮化矽材料的第二部分。第一處理電漿中的氦氣對氮氣的流率比可低於第二處理電漿中的He/N 2流率比。來自形成第一處理電漿的電漿電源的第一功率位凖可低於形成第二處理電漿的第二功率位凖。

Description

氮化矽膜之多層沉積及處理
交叉參考相關申請案 本申請主張於2020年7月22日提交的題為「MULTI-LAYER DEPOSITION AND TREATMENT OF SILICON NITRIDE FILMS」的美國專利申請案第16/935,423號的優先權,該申請的全部內容透過引用併入本文。
本技術涉及半導體處理。更具體地,本技術涉及沉積和處理包括氮化矽膜的材料的方法。
透過在基板表面上產生複雜地圖案化的材料層的處理使得積體電路成為可能。在基板上產生圖案化材料需要形成和去除暴露材料的受控的方法。隨著裝置尺寸不斷縮小並變得更加複雜,材料形成可能會影響後續操作。例如,在阻障層形成操作中,可以形成或沉積材料以在形成在半導體基板上的溝槽或其他特徵中產生阻障層。由於特徵可能是以減小的臨界尺寸、較低的熱預算、對污染物的更高敏感性和對於應力的更高敏感性為特徵,因此這些阻障形成操作可能受到挑戰。例如,沉積的阻障層的處理可能需要超過在半導體基板上或半導體基板中形成的裝置的熱預算的高溫熱退火。在另外的範例中,沉積的阻障層可能需要高功率電漿處理,其在形成於半導體基板中的特徵中和其周圍產生空隙和顆粒。熱退火、電漿處理、和其他類型的處理也可以改變剛沉積的材料(as-deposited material)的空間尺寸,這可以在相鄰的基板特徵上施加應力。另一方面,對剛沉積的材料的不充分處理會產生氣密性差的可滲透阻障層。這會影響裝置效能和後續的處理操作。
因此,需要可用於生產高品質裝置和結構的改進的系統和方法。這些和其他需求由本技術解決。
本技術可用於形成具有高氣密性和機械強度的阻障物膜,同時避免常規阻障物膜形成方法所遇到的不想要的空隙、顆粒和高應力。本技術包括用於沉積和處理諸如氮化矽材料的阻障材料的連續部分以形成阻障物膜的示例性處理方法。阻障材料的較早部分可以以由具有比阻障材料的較晚部分更高的氦氣對氮氣的流率比的處理氣體混合物所形成的更高能量的處理電漿來處理。與阻障材料的後續經處理的部分相比,更高能量且富含氦的較早處理產生具有更高密度和氣密性的阻障材料的處理部分。當阻障材料包括氮化矽時,較早處理的部分也比氮化矽阻障材料的等量的後續經處理的部分具有更多的Si-N鍵和更少的Si-H鍵。
本技術的範例處理方法產生由阻障材料的連續沉積和處理的部分構成的阻障物膜。阻障物膜中阻障材料的較早沉積和處理的部分比較晚沉積和處理的部分具有更高的密度、氣密性、和應力。這使阻障物膜在靠近與半導體基板的界面處具有高氣密性,同時降低阻障物膜產生的整體應力可能會影響周圍的基板和基板特徵。例如,後續層可以以相反類型的應力沉積(例如,以拉伸應力沉積的後續層以平衡具有壓應力的下層)中和層的總應力。在一些實施例中,阻障材料的較晚沉積的部分可以比阻障材料的較早部分更大(例如,更厚)且沉積得更快,以減少完成阻障物膜的總生產時間。在進一步的實施例中,較大且較快沉積的較晚部分可填充較早沉積部分中的開口(例如,針孔)。在阻障材料的這些較晚沉積的部分的處理操作期間使用的較低能量和較低的氦氣對氮氣流率比使這些部分中空隙和顆粒的產生最小化。
範例處理方法包括形成包含氮化矽的阻障物膜。這些處理方法可包括多個沉積和處理循環,每個循環包括沉積操作和處理操作以形成氮化矽阻障材料的經處理的部分。沉積操作可包括形成一或多種沉積前驅物的沉積電漿,所述沉積前驅物包括含矽前驅物和含氮前驅物。在一些情況中,含矽前驅物和含氮前驅物是相同的前驅物(例如胺基矽烷前驅物)。在另外的情況中,含矽前驅物和含氮前驅物是組合在一起的不同前驅物(例如,矽烷和氨)。方法可進一步包括以一或多種沉積前驅物的電漿流出物在半導體基板上沉積氮化矽阻障材料的一部分。
每個沉積操作之後的處理操作可包括形成包括氦氣和氮氣的處理氣體混合物的處理電漿。處理電漿可透過以設置為功率位凖的電漿電源激勵(energizing)(即,撞擊)處理氣體混合物來形成。沉積的氮化矽材料的較早部分可以以處理氣體混合物所形成的處理電漿來處理,該處理氣體混合物具有更高的氦氣對氮氣流率比,該處理電漿被設置為比氮化矽材料的後續沉積和處理部分更高的功率位凖的電漿電源激勵。
在實行多個沉積和處理循環之後,可以完成包含氮化矽的阻障物膜。為完成阻障物膜而實行的沉積和處理循環的數量可包括至少兩個循環、至少三個循環、至少四個循環、至少五個循環、至少十個循環、至少二十個循環、至少三十個循環、至少四十個循環、至少五十個循環、至少六十個循環、至少七十個循環、至少八十個循環、至少九十個循環、至少一百個循環,以及其他範圍。
相對於常規的系統和技術,本技術可提供許多益處。例如,透過根據本技術的實施例實行處理操作,可以限制或控制由阻障層的形成所引起的空隙和顆粒的形成。結合以下描述和隨附圖式更詳細地描述了這些和其他實施例以及它們的許多優點和特徵。
本技術包括在半導體基板上形成阻障物膜的處理方法和系統。處理方法和系統的實施例包括在半導體基板上形成包含氮化矽的阻障物膜的方法和系統。含氮化矽材料可用於許多結構和處理的半導體裝置製造中,包括作為阻障材料,例如作為電荷捕捉材料、封裝材料、介電阻障材料和蝕刻停止材料,及其他功能。這些氮化矽阻障物膜可以永久或臨時地併入半導體裝置結構中,該半導體裝置結構包括動態隨機存取記憶體(DRAM)裝置、靜態隨機存取記憶體(SRAM)裝置和相變隨機存取記憶體(PRAM)裝置及其他種類的半導體裝置。
形成氮化矽阻障物膜的常規處理方法利用低溫電漿增強化學氣相沉積(PECVD)來形成具有高氫含量(例如SiNH)的氮化矽阻障材料,這有助於在半導體基板上形成共形層,其可具有一或多個特徵。接著對在半導體基板上最初形成的氮化矽材料進行處理以去除一部分氫並形成具有增加的氣密性的阻障物膜。處理操作包括高溫熱退火和高能電漿處理,這兩者都會在正被形成的半導體裝置結構中產生處理缺陷。這些缺陷包括當高溫退火超過形成半導體裝置的熱預算時材料和結構的熱崩潰。他們亦包括在高能電漿處理快速破壞剛沉積的氮化矽材料中的許多Si-H鍵時形成空隙、顆粒、和高應力。在單個沉積和處理週期中形成具有高氣密性的氮化矽阻障物膜的常規處理方法中經常遇到這些缺陷及其他缺陷。也在循環之間以相對恆定的處理條件沉積和處理連續的氮化矽阻障物膜的層的常規處理方法中遇到這些缺陷。隨著特徵尺寸不斷縮小和基板特徵繼續變得更加複雜,由形成氮化矽阻障物膜的常規處理方法產生的缺陷增加了裝置故障率。
本技術包括透過在具有降低的氣密性的兩個或更多個部分中形成氮化矽阻障物膜來減少或消除這些缺陷的處理方法和系統。在一些實施例中,經沉積和處理的阻障物膜的第一部分以高氣密性為特徵,而至少第二部分以較低的氣密性為特徵。然而,較高的電漿功率和較高的氦氣濃度處理可能會增加氣泡的形成。在進一步的實施例中,在基板上形成的氮化矽阻障物膜是其中最靠近基板的膜的部分是以最高的氣密性為特徵,而距基板最遠的膜的部分是以最低的氣密性為特徵,這可以部分與較低的處理功率和處理前驅物中氦氣的減少有關。在更進一步的實施例中,氮化矽阻障物膜可以是以從膜最靠近基板處的最高氣密性到膜離基板最遠處的最低氣密性的氣密梯度為特徵。
本技術的實施例包括沉積和處理連續部分的含氮化矽材料以形成具有降低的氣密性的兩個或更多個位凖的氮化矽層(例如,SiN阻障物膜)。在一些實施例中,含氮化矽材料的連續部分亦可以是以在沉積和處理期間由氫和/或氦的氣泡形成而產生的空隙的減低的數量為特徵。可以使用在部分之間不同的一組處理條件來沉積和處理含氮化矽材料的連續部分。這些處理條件可包括用於形成處理電漿的處理氣體混合物的氦與氮的流率比、用於形成處理電漿的功率位凖、以及用於含氮化矽材料的沉積的部分的沉積率,及其他處理條件。本技術的實施例包括以更高的電漿功率和更高的氦與氮的流率比處理沉積的含氮化矽材料的初始部分以去除更多的氫並使材料更密封。隨後沉積的含氮化矽材料的部分以較低的電漿功率和較低的氦與氮的流率比進行處理,這減少了在處理材料中由離解的氫和氦形成的空隙。這些後續處理可能會留下更高的氫含量,從而降低材料的氣密性。在描述了根據本技術的一些實施例的其中可以實行下文討論的電漿處理操作的腔室的一般態樣之後,可以討論具體的方法。應理解,本技術不旨在限於所討論的特定膜、腔室、或處理,因為所描述的技術可用於改進許多阻障物膜形成處理,並可適用於多種處理腔室和操作。
圖1示出根據實施例的沉積、蝕刻、烘烤、及固化腔室的處理系統100的一個實施例的頂部平面視圖。在圖式中,一對前開式晶圓傳送盒102供應藉由機械臂104接收的各種尺寸的基板,且在放置至定位於串聯部分109a-c中的基板處理腔室108a-f之一者中之前,放置至低壓保持區域106中。第二機械臂110可用於將基板晶圓從保持區域106傳送到基板處理腔室108a-f並返回。除了電漿增強化學氣相沉積、原子層沉積、物理氣相沉積、蝕刻、預清潔、脫氣、定向、和其他基板處理,包括退火、灰化等之外,每個基板處理腔室108a-f可以被裝備以實行多個基板處理操作,包括形成本文所述的半導體材料的堆疊。
基板處理腔室108a-f可包括一或多個系統元件,用於在基板上沉積、退火、固化、緻密化和/或蝕刻介電質、阻障物、或其他膜。在一種配置中,兩對處理腔室,例如,108c-d和108e-f,可用於在基板上沉積介材料,而第三對處理腔室,例如,108a-b,可用於蝕刻沉積的材料。在另一種配置中,所有三對腔室,例如108a-f,可以被配置為在基板上沉積交替膜(例如,介電膜、阻障物膜等)的堆疊。所描述的任何一或多種處理都可以在與不同實施例中所示的製造系統分開的腔室中進行。應當理解,系統100考慮了用於介電膜的沉積、蝕刻、退火、固化、和緻密化腔室的附加配置。
圖2示出了根據本技術的一些實施例的示例性電漿系統200的示意性截面圖。電漿系統200可圖示一對處理腔室108,其可符合在上述的一或更多串聯部分109中,且可包括具體配置用於實行根據本技術的實施例的處理的元件或組件。電漿系統200通常可包括腔室主體202,腔室主體202具有界定一對處理區域220A和220B的側壁212、底壁216、和內側壁201。處理區域220A-220B中的每一個可以類似地配置,並且可包括相同的元件。
例如,處理區域220B的元件也可以包括在處理區域220A中,處理區域220B可包括穿過形成在電漿系統200中的底壁216中的通路222設置在處理區域中的底座228。底座228可提供適於在底座的暴露表面(例如主體部分)上支撐基板229的加熱器。底座228可包括加熱元件232,例如電阻加熱元件,其可在期望的處理溫度下加熱和控制基板溫度。底座228亦可由遠端加熱元件加熱,例如燈組件或任何其他加熱裝置。
底座228的主體可透過凸緣233耦接到桿226。桿226可以將底座228與電源插座或電力箱203電耦接。電力箱203可包括控制底座228在處理區域220B內的升高和移動的驅動系統。桿226亦可包括電源介面以向底座228提供電力。電力箱203亦可包括用於電力和溫度指示器的介面,例如熱電偶介面。桿226可包括適於可拆卸地與電力箱203耦接的基座組件238。圓周環235示於電力箱203上方。在一些實施例中,圓周環235可以是適於作為機械止動件或台部(land)的肩部,其被配置為在基座組件238和電力箱203的上表面之間提供機械介面。
棒230可穿過形成在處理區域220B的底壁216中的通路224被包括並且可用於定位穿過底座228的主體設置的基板升舉銷261。基板升舉銷261可選擇性地將基板229與底座間隔開,以促進與用於穿過基板傳送端口260將基板229傳送進和傳送出處理區域220B的機器人的基板229的交換。
腔室蓋204可以與腔室主體202的頂部耦接。蓋204可容納與其耦接的一或多個前驅物分配系統208。前驅物分配系統208可包括前驅物入口通路240,其可將反應物和清潔前驅物輸送穿過氣體輸送組件218到處理區域220B中。氣體輸送組件218可包括氣箱248,其具有設置在面板246中間的擋板244。射頻(「RF」)源265可與氣體輸送組件218耦接,其可為氣體輸送組件218供電以促進在氣體輸送組件218的面板246與底座228之間產生電漿區域,該電漿區域可以是腔室的處理區域。在一些實施例中,RF源可以與腔室主體202的其他部分例如底座228耦接以促進電漿的產生。介電隔離器258可以設置在蓋204和氣體輸送組件218之間以防止將RF功率傳導到蓋204。遮蔽環206可以設置在與底座228接合的底座228的周邊上。
選擇性的冷卻通道247可以形成在氣體分配系統208的氣箱248中以在操作期間冷卻氣箱248。諸如水、乙二醇、氣體等的傳熱流體可循環穿過冷卻通道247,使得氣箱248可保持在預定溫度。襯墊組件227可設置在處理區域220B內緊鄰腔室主體202的側壁201、212,以防止側壁201、212暴露於處理區域220B內的處理環境。襯墊組件227可包括圓周泵腔225,其可耦接到泵系統264,泵系統264被配置為從處理區域220B排出氣體和副產物並控制處理區域220B內的壓力。在襯墊組件227上可形成複數個排氣口231。排氣口231可被配置成以促進系統200內的處理的方式允許氣體從處理區域220B流動到圓周泵腔225。
圖3示出了根據本技術的一些實施例的處理方法300中的示例性操作。方法可在多種處理腔室中實行,包括上述電漿系統200。方法300可包括在所述方法操作開始之前的一或多個操作,包括前端處理、沉積、蝕刻、研磨、清潔、或可在所述操作之前實行的任何其他操作。方法可包括如圖所示的多個選擇性操作,其可或可不具體地與根據本技術的方法相關聯。例如,描述了許多操作以提供半導體處理的更廣泛的範疇,但對技術來說並非關鍵,或者可以透過如下文進一步討論的替代方法來實行。
方法300可涉及將半導體結構發展成特定製造操作的選擇性操作。儘管在一些實施例中方法300可以在基礎結構上實行,但是在一些實施例中該方法可以在其他材料形成或去除之後實行。例如,可實行任何數量的沉積、掩模、或去除操作以在基板上產生任何電晶體、記憶體、或其他結構態樣。在一些實施例中,在基板上形成的一或多個結構可以是以小於或約500℃、小於或約450℃、小於或約400℃、小於或約350℃、小於或約300°C、小於或約250°C、小於或約200°C、或小於或約150°C 或以下的熱預算為特徵。因此,方法300和任何後續操作可以在處於或低於結構熱預算的溫度下實行。基板可以設置在基板支撐件上,該基板支撐件可以定位在半導體處理腔室的處理區域內。產生底層結構的操作可以在可實行方法300的態樣的相同腔室中實行,並且亦可在與可實行方法300的操作的腔室類似的平台上或其他平台上的一或多個腔室中實行一或多個操作。
在一些實施例中,方法300可包括在基板上形成氮化矽阻障物膜。方法可包括在操作305在容納基板的處理區域中形成沉積電漿。沉積電漿的形成可包括提供包含含矽前驅物的一或多種沉積前驅物。在一些實例中,含矽前驅物亦包括一或多個氮(例如胺基矽烷,例如三甲矽烷基胺(tri-silyl amine)),並且矽前驅物將矽和氮基團(groups)兩者提供給氮化矽阻障材料的沉積部分。在另外的實例中,含矽前驅物可以不包括氮基團(nitrogen group)(例如,矽烷,例如SiH 4)並且一或多種含氮前驅物(例如氨)可以與無氮含矽前驅物組合以形成沉積前驅物。一或多種沉積前驅物可以與載氣一起輸送,包括惰性前驅物,例如氦氣、氬氣、和/或氮氣(N 2)。如果氮用作沉積前驅物的載氣,則它也可以至少在一定程度上摻入(incorporated)在剛沉積的含氮化矽材料中。
在一些實施例中,供應沉積電漿的含矽前驅物(例如,TSA)的流率可以在從每分鐘10標準立方公分(sccms)到100 sccm的範圍內。如果向沉積電漿提供額外的沉積前驅物(例如,NH 3),則其可以以50 sccm至150 sccm的流率提供。如果向沉積電漿提供載體前驅物(例如,N 2),則可以以每分鐘0.2標準升(slm)至4 slm的流率提供。沉積電漿可以形成在半導體處理腔室的處理區域中。處理區域的周邊的一部分可包括暴露於沉積電漿的半導體基板的表面和半導體處理腔室的面板。在一些實施例中,基板和面板之間的距離可以在200 mils至500 mils的範圍內。
操作305中沉積電漿的形成可包括以射頻(RF)功率源激勵沉積前驅物。在一些實施例中,提供給沉積前驅物的RF功率的量可以在60瓦(W)至200瓦的範圍內。在一些實施例中,電漿形成處理可包括在電漿產生期間脈衝電漿功率。電漿可在電漿產生頻率下產生,例如在一個非限制範例中為13.56 MHz。電漿功率亦可在可小於或約10 kHz的脈衝頻率下脈衝,且可小於或約9 kHz、小於或約8 kHz、小於或約7 kHz、小於或約6 kHz、小於或約5 kHz、小於或約4 kHz、小於或約3 kHz、小於或約2 kHz、小於或約1 kHz,或更小。脈衝頻率的工作週期可對電漿產生提供「關閉」時間的量。
在電漿「關閉」時段期間,可能不發生沉積。儘管先前形成的離子可迅速滅絕,自由基物質仍可接觸基板且傳送能量至正在產生的阻障物膜中。這可能會激活和破壞阻障物膜內的鍵,其繼而可能導致從沉積膜中去除的氣態物質的形成。在高工作週期下,於沉積恢復之前時間不夠用於此效應。因此,在一些實施例中,工作週期可維持在小於或約50%下,且可維持在小於或約45%下、小於或約40%下、小於或約35%下、小於或約30%下、小於或約25%下、小於或約20%下、小於或約15%下、小於或約10%下、小於或約5%下,或更少。這些技術中的任何一種,單獨或組合地,可以減少在剛沉積的氮化矽材料中的氫摻入(incorporation)。
在操作310,沉積電漿可被流入處理區域的沉積前驅物撞擊,並且一部分氮化矽材料可以沉積在基板上。當基板容納在半導體處理腔室的處理區域中時,可以將氮化矽材料的一部分沉積在半導體基板上。半導體基板可以在半導體基板內界定一或多個特徵。氮化矽材料的部分可以沉積在半導體基板的一或多個特徵中以及基板的未被基板特徵蝕刻或以其他方式成形的部分上。處理區域可至少部分地界定在面板和半導體基板所在的基板支撐件之間。
在一些實施例中,氮化矽材料的部分的沉積可以在具有小於或約550℃、小於或約500℃、小於或約450℃、小於或約400℃、小於或約350℃、小於或約300℃、小於或約250℃、小於或約200℃、或小於或約150℃、更小的熱預算的半導體基板上進行。因此,氮化矽材料可以在這些溫度中的任何一者或以下進行沉積以適應基底的材料,並且在一些實施例中,一或多個操作,包括方法300的所有操作,可以在這些溫度中的任何一者或以下實行,並且在整個處理期間,可以將正在處理的基板保持在大約或低於這些溫度的任一者。在一些實施例中,基板上氮化矽材料的沉積溫度可以在200°C至300°C的範圍內(例如,250°C至280°C的沉積溫度範圍)。在一些實施例中,在含氮化矽材料的沉積期間的處理壓力可以大於或大約30 mTorr,並且可以在大約30 mTorr和大約20 Torr之間。
可以以包括矽、氮、和氫原子的沉積前驅物來沉積含氮化矽材料的部分。因此,氮化矽材料的剛沉積的部分可以以摻入的氫的第一量為特徵。摻入的氫的第一量可以大於或約3 at.%、大於或約5 at.%、大於或約7 at.%、大於或約10 at.%或更多。
氮化矽材料的剛沉積的部分可在可增加材料的密度和氣密性的處理程序中進一步處理。處理程序可以在與沉積相同的腔室中實行,或者基板可以從第一處理腔室傳送到第二處理腔室。在一些實施例中,第二腔室可以在相同的工具上,例如之前描述的,並且可以在保持基板的真空條件的同時實行轉移。該處理程序可以被配置為透過將額外的能量傳送到剛沉積的材料中並斷開氫基團與其他原子(例如矽、氮、和碳原子)的鍵結來減少在剛沉積的氮化矽材料中的摻入的氫的量。在處理操作之後,這可以將剛沉積的材料中的氫量從第一量減少到材料中的氫的第二、較低的量。第二量的氫摻入可小於或約2 at.%,並且可小於或約1.5 at.%、小於或約1.0at.%、小於或約0.5at.%、或更少。
對剛沉積的含氮化矽材料的處理可包括在操作315形成處理電漿。處理電漿的形成可包括提供一或多種處理前驅物,例如氦氣和氮氣(N 2)的混合物。電漿可從流入處理區域的處理前驅物被撞擊,並且電漿流出物,例如氦和氮離子(N +、N 2 +),可接觸沉積的氮化矽材料。接觸沉積的氮化矽材料的至少一些電漿流出物的衝擊能量可能超過用於破壞嵌入氮化矽材料中的氫基團的鍵結的閾值能量。至少一些分離的氫基團可以重新形成為從氮化矽材料中去除的物質。例如,至少一些分離的氫基團可以重新形成為從氮化矽材料中去除的分子氫(H 2)。在一些情況下,處理電漿的流出物破壞矽-氫鍵並以在氮化矽材料中形成新的矽-氮鍵的氮基團替換被置換的氫基團。在操作320中,矽-氫鍵數量的減少和矽-氮鍵數量的增加兩者都使氮化矽材料緻密。
在一些實施例中,在操作315形成處理電漿包括提供作為氦氣和氮氣(N 2)的混合物的處理前驅物。氦氣與氮氣的流率比(He:N 2)可以在處理週期之間改變。例如,在氮化矽材料的部分的較早沉積之後的較早處理操作的氦氣與氮氣的流率比(即,He:N 2流率比)可能高於在氮化矽材料的部分的較晚沉積之後的較晚(例如,後續)處理程序的He:N 2流率比。在一些實施例中,He:N 2的流率比可以隨著在含氮化矽阻障物膜的多層沉積中實行的每個處理電漿操作而逐漸降低。在另外的實施例中,He:N 2的流率比可以隨著每個處理操作而逐漸減小,直到達到He:N 2比的下限,該下限對於連續的處理電漿操作保持相同,直到含氮化矽的阻障物膜的形成已完成。在一些實施例中,He:N 2的流率比可以在0.1到10的範圍內。
例如,從氦氣與氮氣的初始流率比開始,連續處理操作可連續降低氦氣流率,同時連續增加氮氣流率。例如,每個連續處理可以將氦氣流率降低大於或約300 sccm,並且可將氦氣流率降低大於或約400 sccm、大於或約500 sccm、大於或約600 sccm、大於或約700 sccm、大於或約800 sccm、大於或約900 sccm、大於或約1000 sccm、大於或約1100 sccm、大於或約1200 sccm、大於或約1300 sccm、大於或大約1400 sccm、大於或約1500 sccm,或更多。例如,每個連續處理可以將氮氣流率增加大於或約500 sccm,並且可將氮氣流率增加大於或約600 sccm、大於或約700 sccm、大於或約800 sccm、大於或約900 sccm、大於或約1000 sccm、大於或約1100 sccm、大於或約1200 sccm、大於或約1300 sccm、大於或約1400 sccm、大於或約1500 sccm,或更多。
氦氣與氮氣的更高流率比(在一些實施例中可以結合更高功率位凖來完成以形成處理電漿)可以產生具有更高氣密性的經處理的含氮化矽材料,因為大量的高能氦在沉積材料中解離更多的氫。游離的氦氣和氫氣會在材料中產生氣泡,該等氣泡增加在經處理的材料中的空隙數量。在一些實施例中,可透過沉積對於大量氣泡來說太薄而無法形成(例如,沒有氣泡形成)的含氮化矽材料的一部分,來減少或消除氣泡的量。可以以較低的氦氣與氮氣的流率比處理含氮化矽材料的另外部分,並且在一些實施例中,以針對處理電漿的較低功率位凖,以形成具有較少解離氫、較低氣密性、和更少的空隙的經處理的部分。在一些實施例中,含氮化矽材料的這些附加部分也可以形成為具有比初始部分更大的厚度和更高的沉積率。最終的氮化矽層可以以從經沉積和處理的材料的初始部分到最終部分的降低的氣密性,並且在一些實施例中,空隙的減少數量,為其特徵。
處理電漿的形成亦可包括以RF功率源激勵處理前驅物。在一些實施例中,可以以從10 W到1000 W的範圍的功率向處理前驅物連續供應RF功率。附加的射頻功率範圍包括100 W至800 W、200 W至700 W、和300 W至600 W,以及其他範圍。電漿可在電漿產生頻率下產生,例如在一個非限制範例中為13.56 MHz。在一些實施例中,用於形成處理剛沉積的氮化矽材料的較早部分的較早處理電漿的RF功率位凖可大於用於形成用於處理剛沉積的氮化矽材料的較晚部分的較晚(例如,後續)處理電漿的RF功率位凖。例如,用於形成較早處理電漿的RF功率位凖可以是600 W,而用於形成較晚處理電漿的RF功率位凖可以是500 W。
當已實行多個沉積和處理循環時,在操作325完成含氮化矽阻障物膜的形成。在一些實施例中,可以在至少兩個沉積和處理循環中形成膜,其中沉積的氮化矽材料的第一部分的厚度小於沉積的氮化矽材料的第二部分和後續部分的厚度。例如,沉積在基板上的氮化矽材料的第一部分可以小於膜的厚度的50%、小於膜的厚度的40%、小於膜的厚度的30%、小於膜的厚度的20%、小於膜的厚度的10%、小於膜的厚度的5%,或更少。
如上所述,在一些實施例中,含氮化矽阻障物膜的第一部分可具有比阻障物膜的第二部分和(如果包括的話)後續部分更高的氣密性。阻障物膜的第一部分(其可以是最靠近在其上形成阻障物膜的基板的部分)以其氣密性比阻障物膜的第二部分或後續部分的氣密性大或高約10%、比阻障物膜的第二部分的氣密性大或高約15%、比阻障物膜的第二部分的氣密性大或高約20%、比阻障物膜的第二部分的氣密性大或高約25%、比阻障物膜的第二部分的氣密性大或高約30%、比阻障物膜的第二部分的氣密性大或高約40%、比阻障物膜的第二部分的氣密性大或高約50%,或更多為其特徵。在另外的實施例中,含氮化矽阻障物膜的第一部分可具有大於或約等於阻障物膜的第二部分和(如果包括的話)後續部分的空隙數量。
例如,含氮化矽阻障物膜的第一部分可以比阻障物膜的第二部分具有多於或約多5%的空隙、比阻障物膜的第二部分具有多於或約多10%的空隙、比阻障物膜的第二部分具有多於或約多15%的空隙、比阻障物膜的第二部分具有多於或約多20%的空隙、比阻障物膜的第二部分具有多於或約多25%的空隙、比阻障物膜的第二部分具有多於或約多50%的空隙,或更多。此外,每個後續膜層可以以如前所述的減少的空隙形成為特徵。例如,每個後續層可以以空隙的線性減少為特徵,因為每個先前層可以以比每個後繼層多或多約5%空隙為特徵,以及空隙或氣泡以針對空隙或氣密性的如上所述的任一百分比的減少為特徵。
為了限制排隊時間的減少,可以在沉積腔室內實行電漿處理操作,並且可以在與沉積腔室相同的工具上的腔室中實行其他能量處理。透過利用本技術的一或多個態樣,與傳統技術相比,可以減少氮化矽膜內的氫摻入以及空隙和顆粒的數量。此外,所描述的處理可以在比許多常規技術更低的溫度下實行,這可以適應可能受熱預算約束的結構。
圖4示出了根據本技術的一些實施例的處理方法400中的示例性操作。方法可在多種處理腔室中實行,包括上述電漿系統200。與上述方法300類似,方法400可包括在所述方法操作起始之前的一或多個操作以及在所述方法操作之後的一或多個操作。方法400可包括在基板上沉積含氮化矽材料的初始部分405。該初始部分可以採用氮化矽材料的相對薄層(例如,2-5 nm厚)的形狀。在一些實施例中,方法400可進一步包括對剛沉積的含氮化矽材料的初始部分的處理操作。選擇性的處理操作(未示出)可包括將含氮化矽材料的初始部分暴露於低能處理電漿(例如,200瓦或更少),該電漿可將材料的初始部分重新分佈在基板上而基本上沒有破壞材料中的Si-H鍵。低能處理電漿可由包括氦氣和氮氣的處理氣體混合物形成。處理氣體混合物可具有氦氣與氮氣流率比大於方法400中使用的後續處理氣體混合物的流率比。如上所述,低能量處理電漿不會產生能量足以破壞最初沉積的含氮化矽材料中的Si-H鍵的大量的電漿流出物。因此,儘管低能量處理電漿的能量足以重新分佈一些剛沉積的初始材料,但它不會實質改變材料中的氫含量、增加其密度、或增加其氣密性。
在基板上含氮化矽材料的初始部分的沉積和選擇性的處理之後,方法400包括兩個或更多個沉積和處理循環以將經處理的含氮化矽材料的部分構建成含氮化矽阻障物膜。這些沉積和處理循環中的第一個包括在含氮化矽材料的初始部分上沉積含氮化矽材料的下一部分410。沉積操作410可包括形成沉積電漿並且從電漿的流出物沉積含矽和氮材料的下一部分。可以以處理電漿處理剛沉積的含氮化矽材料的下一部分415。與先前的低能處理電漿相對地,處理操作415中的處理電漿的能量足以改變沉積態的含氮化矽材料的組成。在一些實施例中,處理操作415中的處理電漿被提供用於在方法400中實行的沉積和處理循環中的處理電漿的最高功率位凖(例如,範圍從600 瓦到1000 瓦的功率位凖)。在一些實施例中,在處理操作415中形成處理電漿的處理氣體混合物可具有氦氣對氮氣流率比比在方法400中實行的任何後續處理操作中的更高。在每個附加沉積操作之後形成的每個後繼處理操作中,可以進一步降低電漿功率以減少膜的層到層內的氣泡。例如,每個後繼的處理操作可進一步將電漿功率降低大於或約40 W,並且可將電漿功率降低大於或約50 W、大於或約60 W、大於或約70 W、大於或約80 W,或更多。因此,最終層處理可以在小於或約500W 的電漿功率下實行,且可在小於或約480 W、小於或約460 W、小於或約440 W、小於或約420 W、小於或約400 W、小於或約380 W、小於或約360 W、小於或約340 W、小於或約320 W,或更少的電漿功率下實行。
方法400是本技術的實施例,其進一步包括在含氮化矽材料的下一部分的沉積和處理之後的至少第二沉積和處理循環。第二沉積和處理循環包括沉積含氮化矽材料的附加部分420。含氮化矽材料的附加部分可以沉積在含氮化矽材料的經處理的下一部分上。沉積操作420可包括由一或多種沉積前驅物形成沉積電漿,以及從電漿流出物沉積含矽和氮材料的附加部分。在一些實施例中,含矽和氮材料的附加部分的沉積率和/或沉積量可以大於含矽和氮材料的先前的下一部分的沉積率和/或沉積量。在這些實施例的一些中,供應沉積電漿的沉積前驅物的流率可大於供應形成含氮化矽材料的先前部分的沉積電漿的沉積前驅物的流率。實施例可包括含矽前驅物(例如,TSA、矽烷)的增加的流率,並且如果在沉積前驅物中包括含氮前驅物(例如,NH 3),則實施例可進一步包括增加其流率。例如,與多個循環的先前沉積操作相比,每個後繼沉積可包括相似或增加的流率。例如,在每個後繼沉積中,氨或另一種含氮前驅物的流率可增加大於或約10 sccm。可以以處理電漿處理剛沉積的含氮化矽材料的附加部分425。處理電漿可由設置為比用於形成處理氮化矽材料的先前的下一部分的處理電漿的功率位凖低的電漿功率位凖的電漿電源形成。
方法400的實施例可進一步包括完成含氮化矽阻障物膜430。在方法400中所示的實施例中,在含氮化矽材料的初始部分的沉積和選擇性處理之後的至少兩個沉積和處理循環之後完成含氮化矽阻障物膜。完成的含氮化矽阻障物膜可包括最靠近基板的部分,該部分具有阻障物膜的任何部分中最高的氣密性、最高的密度、和最低的氫濃度。完成的含氮化矽阻障物膜亦可包括距離基板最遠的部分,該部分具有阻障物膜的任何部分中最高的氫濃度和最低的應力。
圖5A和5B示出根據本技術的一些實施例的在處理期間基板的範例示意性截面圖。截面圖示出了根據本技術的一些實施例的在處理方法300和400中描述的不同操作之後的結構500的一部分。處理腔室200可在本技術的一些實施例中用於處理方法300和400,該等方法可包括用於半導體結構的氮化矽材料的形成和處理。應理解,所描述的腔室不被認為是限制性的,並且可類似地使用可被配置為實行所描述的操作的任何腔室。方法300和400可包括多個選擇性操作,其可以或可以不與根據本技術的方法的一些實施例具體地相關聯。例如,描述了許多操作以提供結構形式的更廣泛範疇,但是對技術不是關鍵的,或者可以透過容易理解的替代方法來實行。方法300和400可以描述圖5A和5B中示意性示出的操作。應理解,圖式僅圖示了部分示意圖,並且基板可包含具有如圖所示的各種特性和態樣的任意數量的附加材料和特徵。
已在其上實行許多操作的基板可以是結構500的基板505,其可示出可在其上實行半導體處理的基板的局部視圖。應理解,結構500在處理期間可僅示出幾個頂層以說明本技術的態樣。基板505可包括其中可形成一或多個特徵510的材料。基板505可以是用於半導體處理的任何數量的材料。基板材料可以是或包括矽、鍺、包括氧化矽或氮化矽的介電材料、金屬材料、或這些材料的任意數量的組合,其可以是基板505或形成在結構500中的材料。根據本技術,特徵510可以以任何形狀或配置為特徵。在一些實施例中,特徵可以是或包括形成在基板505內的溝槽結構或孔。
儘管特徵510可以是以任何形狀或尺寸為特徵,但是在一些實施例中特徵510可以是以更高的長寬比為特徵,或者以特徵的深度與跨特徵的寬度的比為特徵。例如,在一些實施例中,特徵510可以以大於或約5:1的長寬比為特徵,並且可以以大於或約10:1、大於或約15:1、大於或約20:1、大於或約25:1、大於或約30:1、大於或約40:1、大於或約50:1或更大的長寬比為特徵。此外,特徵可以是以跨越包括兩個側壁之間的特徵的窄寬度或直徑為特徵,例如小於或約20 nm的尺寸,並且可以是以跨越特徵的寬度小於或約15 nm,小於或約12 nm、小於或約10 nm、小於或約9 nm、小於或約8 nm、小於或約7 nm、小於或約6 nm、小於或約5 nm、或更小為特徵。
在一些實施例中,方法300和400可包括選擇性的處理操作,例如預處理,其可被實行以預備用於沉積的基板405的表面。一旦準備好,方法300和400可包括將一或多種前驅物傳送到容納結構500的半導體處理腔室的處理區域。前驅物可包括一或多種含矽和氮的前驅物,以及一或多種稀釋劑或載氣,例如惰性氣體或與含矽和氮的前驅物一起輸送的其他氣體。在操作310,電漿可由包括含矽和氮的前驅物的沉積前驅物形成。沉積電漿可以形成在處理區域內,這可以允許氮化矽沉積材料沉積在基板上。例如,在一些實施例中,電容耦合電漿可以透過如前所述地向面板施加電漿功率而在處理區域內形成。可透過向面板或噴頭施加電漿功率來形成所形成的沉積電漿,並且在一些實施例中可以不耦接其他電源。
如圖5A所示,氮化矽材料515可以沉積在基板405上,並且可沉積到溝槽或特徵510中。如圖所示,沉積材料515可以沉積到特徵的底部。
如圖5B所示,在一定量的沉積之後,可以進行處理操作以緻密化氮化矽材料、增加氮化矽材料的氣密性、並降低氮化矽材料的氫含量。此處理可以在與沉積相同的腔室中實行。在一些實施例中,可以停止含矽和氮的前驅物流並且可淨化處理區域。在淨化之後,處理前驅物可以流入處理腔室的處理區域。可以形成處理電漿,其可以是形成在處理區域內的電容耦合電漿。處理操作在經處理的氮化矽材料520中提供減少的氫摻入,例如小於或約40 at.%的氫摻入,並且可提供小於或約35 at.%、小於或約30 at.%、小於或約25 at.%、小於或約20at.%、小於或約15 at.%、小於或約10 at.%、小於或約5 at.%,或更少的減少的氫摻入。
儘管剛沉積的氮化矽材料的沉積可以形成為幾奈米或更大,但是透過實行如前所述的沉積處理,可以將經處理的氮化矽材料的厚度控制為小於或約 100 Å的厚度,並且可以小於或約90 Å、小於或約80 Å、小於或約70 Å、小於或約60 Å、小於或約50 Å、小於或約40 Å、小於或約30 Å、小於或約20 Å、小於或約10 Å、或更小。透過控制剛沉積的氮化矽材料的每個部分的厚度,可以解決常規處理中常見的處理電漿的穿透問題。如圖4C所示,沉積在基板上和特徵中的材料415可以透過材料的整個深度被轉化為經處理的氮化矽材料420。接著可重複沉積和處理操作以繼續在基板上和/或基板特徵中產生完整的阻障物膜。
在前面的描述中,出於解釋的目的,已闡述許多細節以便提供對本技術的各種實施例的理解。然而,對所屬技術領域具有通常知識者將顯而易見的是,可以在沒有這些細節中的一些或具有其他細節的情況下實施某些實施例。
已經公開了幾個實施例,所屬技術領域具有通常知識者將認識到,在不脫離實施例的精神的情況下,可以使用各種修改、替代構造、和均等。此外,為了避免不必要地混淆本技術,沒有描述許多習知的處理和元件。因此,以上描述不應被視為限制本技術的範疇。
在提供值的範圍的情況下,應理解到,除非上下文另外明確指出,否則在此範圍的上限和下限之間的每個中間的值,到下限的單位的最小部分,都亦明確揭露。涵蓋了在描述的範圍內的任何描述的值或未描述的中間值與該描述的範圍內的任何其他描述的或中間值之間的任何較窄的範圍。這些較小範圍的上限和下限可以獨立地包括在該範圍中或排除在該範圍之外,且在界限的一者、均沒有、或兩者被包括在該較小範圍內的每個範圍亦被涵蓋於本技術之中,針對受描述的範圍內任何明確排除的界限。在所述範圍包括界限的一者或兩者的情況下,亦包括排除那些所包括的界限中的一者或兩者的範圍。
如本文和隨附申請專利範圍中所使用的,單數形式的「一」、「一個」、和「該」包括複數參照,除非上下文有另外明確指出。因此,例如,對於「前驅物」的參照包括複數個這種前驅物,並且對「該層」的參照包括對所屬技術領域具有通常知識者為已知的一或多個層及其均等,等等。
而且,當在本說明書和隨附申請專利範圍中使用時,用語「包括(comprise(s))」、「包括(comprising)」、「包含(contain(s))」、「包含(containing)」、「包括(include(s))」、和「包括(including)」是旨在於指名所描述的特徵、整體、元件、或操作的存在,但是它們並不排除一或多個其他特徵、整體、元件、操作、動作、或組的存在或增加。
100:處理系統 102:前開式晶圓傳送盒 104:機械臂 106:低壓保持區域 108a-108f:基板處理腔室 109a-109c:串聯部分 110:第二機械臂 200:電漿系統 201:內側壁 202:腔室主體 203:電力箱 204:腔室蓋 206:遮蔽環 208:前驅物分配系統 212:側壁 216:底壁 218:氣體輸送組件 220A:處理區域 220B:處理區域 222:通路 224:通路 225:圓周泵腔 226:桿 227:襯墊組件 228:底座 229:基板 230:棒 231:排氣口 232:加熱元件 233:凸緣 235:圓周環 238:基座組件 240:前驅物入口通路 244:擋板 246:面板 247:冷卻通道 248:氣箱 258:介電隔離器 260:基板傳送端口 261:基板升舉銷 264:泵系統 265:RF源 300:處理方法 305:操作 310:操作 315:操作 320:操作 325:操作 400:處理方法 405:操作 410:操作 415:操作 420:操作 425:操作 430:操作 500:結構 505:基板 510:特徵 515:氮化矽材料 520:氮化矽材料
透過參照說明書的其餘部分和隨附圖式,可以實現對所揭露的技術的性質和優點的進一步理解。
圖1示出了根據本技術的一些實施例的示例性處理系統的頂視圖。
圖2示出了根據本技術的一些實施例的示例性處理腔室的示意性截面圖。
圖3示出了根據本技術的一些實施例的處理方法中的示例性操作。
圖4示出了根據本技術的附加實施例的處理方法中的示例性操作。
圖5A和5B示出根據本技術的一些實施例的在處理期間基板的示意性截面圖。
一些圖作為示意圖包含在內。應理解,圖式僅用於說明性目的,除非特別說明是按比例,否則不應視為按比例。此外,作為示意,提供了圖以幫助理解,並且與實際表示相比,圖可能不包括所有態樣或資訊,並且出於說明目的,可能包括放大的材料。
在隨附圖式中,相似的元件和/或特徵可具有相同的參照標籤。此外,相同類型的各種元件可以透過在參照標籤後加上一個在相似元件之間進行區分的字母來進行區分。如果在說明書中僅使用第一參照標籤,則該描述可應用於具有相同第一參照標籤的任何一個類似的元件,而與字母無關。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
300:處理方法 305:操作 310:操作 315:操作 320:操作 325:操作

Claims (20)

  1. 一種處理方法,包括以下步驟: 在一半導體基板上沉積和處理一氮化矽材料的一第一部分,其中該氮化矽材料的該第一部分是以一第一沉積電漿形成並以一第一處理電漿處理; 在該氮化矽材料的經處理的該第一部分上沉積一氮化矽材料的一第二部分,其中該氮化矽材料的該第二部分是以包括一含矽前驅物的一或多種沉積前驅物形成的一第二沉積電漿沉積; 以由包含氦氣和氮氣的一第二處理氣體混合物形成的一第二處理電漿來處理該氮化矽材料的該第二部分; 在該氮化矽材料的經處理的該第二部分上沉積該氮化矽材料的一第三部分,其中該氮化矽材料的該第三部分是以一第三沉積電漿沉積;和 以由包含氦氣和氮氣的一第三處理氣體混合物形成的一第三處理電漿來處理該氮化矽材料的該第三部分; 其中,該第二處理氣體混合物的氦氣對氮氣的一流率比大於該第三處理氣體混合物的氦氣對氮氣的一流率比。
  2. 如請求項1所述之處理方法,其中該第一處理電漿由包含氦氣和氮氣的一第一處理氣體混合物所形成,且其中該第一處理氣體混合物的氦氣對氮氣的一流率比大於該第二處理氣體混合物的氦氣對氮氣的該流率比。
  3. 如請求項1所述之處理方法,其中該第二處理電漿是以設置為一第二功率位凖的一電漿電源所形成的,且該第三處理電漿是以設置為一第三功率位凖的該電漿電源所形成的,且其中該第二功率位凖大於該第三功率位凖。
  4. 如請求項3所述之處理方法,其中該第一處理電漿是以設置為小於該第二功率位凖和該第三功率位凖的一第一功率位凖的該電漿電源所形成的。
  5. 如請求項4所述之處理方法,其中該第一功率位凖為200 瓦或更小。
  6. 如請求項1所述之處理方法,其中該第二沉積電漿是以操作於一脈沖模式中的一電漿電源所形成。
  7. 如請求項1所述之處理方法,其中該第二處理電漿是以操作於一連續波模式中的一電漿電源所形成。
  8. 如請求項1所述之處理方法,其中形成該第二沉積電漿的該一或多種沉積前驅物進一步包括一含氮前驅物。
  9. 如請求項8所述之處理方法,其中該含氮前驅物包括氨或雙原子氮。
  10. 如請求項1所述之處理方法,其中以該第二處理電漿處理該氮化矽材料的該第二部分之步驟包括以下步驟:緻密化該氮化矽材料的該第二部分,增加該氮化矽材料的該第二部分的一氣密性,及降低該氮化矽材料的該第二部分的一氫含量。
  11. 如請求項1所述之處理方法,其中該含矽前驅物包括矽烷和一胺基矽烷中的至少一種。
  12. 如請求項1所述之處理方法,其中該氮化矽材料的該第二部分具有一厚度,該厚度小於該氮化矽材料的該第三部分的厚度。
  13. 一種處理方法,包括以下步驟: 在一半導體基板上實行沉積和處理氮化矽材料的兩個或更多個循環; 其中沉積和處理該氮化矽材料的該兩個或更多個循環中的每一個循環包括: 以一沉積電漿的電漿流出物來沉積一氮化矽材料,其中該沉積電漿是由包含一含矽前驅物的一或多種沉積前驅物所形成;及 以一處理電漿處理該氮化矽材料,其中該處理電漿是由包含氦氣和氮氣的一處理氣體混合物所形成, 其中,在沉積和處理氮化矽材料的一先前循環中的該處理氣體混合物的氦氣對氮氣的一流率比大於在沉積和處理氮化矽材料的一後續循環中的該處理氣體混合物的氦氣對氮氣的一流率比。
  14. 如請求項13所述之處理方法,其中該處理電漿是以一電漿電源形成,且其中該電漿電源被設置為用於沉積和處理氮化矽材料的該先前循環的一功率位凖,其大於用於沉積和處理氮化矽材料的該後續循環的一功率位凖。
  15. 如請求項14所述之處理方法,其中該半導體基板包括沉積在該基板特徵中的氮化矽材料的一初始層。
  16. 如請求項15所述之處理方法,其中氮化矽材料的該初始層是以由一含矽沉積前驅物所形成的一第一沉積電漿來沉積,並以由包含氦氣和氮氣的一第一處理氣體混合物所形成的一第一處理電漿來處理,且其中: 該第一處理氣體具有氦氣對氮氣的一流率比大於處理氣體混合物在沉積和處理氮化矽材料的先前和後續循環中的氦氣對氮氣的該流率比;及 該第一處理電漿是以設置為200 瓦或更低的一第一功率位凖的電漿電源來形成的。
  17. 如請求項13所述之處理方法,其中該一或多種沉積前驅物包括該含矽前驅物和氨。
  18. 一種處理方法,包括以下步驟: 以一第一沉積電漿在一半導體基板上沉積一氮化矽材料的一第一部分; 以由包含氦氣和氮氣的一第一處理氣體混合物所形成的一第一處理電漿處理該氮化矽材料的該第一部分,其中該第一處理電漿基本上不減少該第一處理電漿中的一氫量; 在該氮化矽材料的經處理的該第一部分上沉積一氮化矽材料的一第二部分,其中該氮化矽材料的該第二部分是以一第二沉積電漿沉積; 以由包含氦氣和氮氣的一第二處理氣體混合物所形成的一第二處理電漿處理該氮化矽材料的該第二部分,其中該第二處理電漿減少剛沉積的該氮化矽材料的該第二部分中的一氫量。
  19. 如請求項18所述之處理方法,其中該第一處理電漿是以設置為200 瓦或更低的一第一功率位凖的一電漿電源來形成的,且該第二處理電漿是以設置為500 瓦或更高的一第二功率位凖的該電漿電源來形成的。
  20. 如請求項19所述之處理方法,其中該第一處理氣體混合物具有氦氣對氮氣的一第一流率比,其大於該第二處理氣體混合物的氦氣對氮氣的一第二流率比。
TW110126798A 2020-07-22 2021-07-21 氮化矽膜之多層沉積及處理 TWI773446B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/935,423 2020-07-22
US16/935,423 US11276570B2 (en) 2020-07-22 2020-07-22 Multi-layer deposition and treatment of silicon nitride films

Publications (2)

Publication Number Publication Date
TW202219304A TW202219304A (zh) 2022-05-16
TWI773446B true TWI773446B (zh) 2022-08-01

Family

ID=79688604

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110126798A TWI773446B (zh) 2020-07-22 2021-07-21 氮化矽膜之多層沉積及處理

Country Status (6)

Country Link
US (1) US11276570B2 (zh)
JP (1) JP2023536422A (zh)
KR (1) KR20230043909A (zh)
CN (1) CN116324021A (zh)
TW (1) TWI773446B (zh)
WO (1) WO2022020195A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114784217B (zh) * 2022-05-26 2023-06-27 重庆惠科金渝光电科技有限公司 封装层的制作方法及有机发光二极管显示面板
CN115161609B (zh) * 2022-07-25 2023-09-12 北京北方华创微电子装备有限公司 半导体工艺设备和磁控溅射工艺
US20240038527A1 (en) * 2022-07-26 2024-02-01 Applied Materials, Inc. Forming films with improved film quality

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI343598B (en) * 2005-05-26 2011-06-11 Applied Materials Inc Method to increase the compressive stress of pecvd silicon nitride films
TW201623682A (zh) * 2014-09-24 2016-07-01 蘭姆研究公司 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW201732925A (zh) * 2016-03-13 2017-09-16 應用材料股份有限公司 用於選擇性乾式蝕刻的方法及設備
TWI612172B (zh) * 2012-02-14 2018-01-21 諾發系統有限公司 用於電漿活化保形膜沉積之前驅物
TWI612581B (zh) * 2011-09-01 2018-01-21 諾發系統有限公司 在基板表面上沉積氮及/或碳摻雜介電薄膜堆疊之方法、設備及系統
US20200176241A1 (en) * 2018-11-30 2020-06-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9287113B2 (en) * 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
US8981466B2 (en) * 2013-03-11 2015-03-17 International Business Machines Corporation Multilayer dielectric structures for semiconductor nano-devices
KR102293218B1 (ko) * 2016-03-13 2021-08-23 어플라이드 머티어리얼스, 인코포레이티드 스페이서 애플리케이션들을 위한 실리콘 질화물 막들의 선택적 증착
US10062563B2 (en) * 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI343598B (en) * 2005-05-26 2011-06-11 Applied Materials Inc Method to increase the compressive stress of pecvd silicon nitride films
TWI612581B (zh) * 2011-09-01 2018-01-21 諾發系統有限公司 在基板表面上沉積氮及/或碳摻雜介電薄膜堆疊之方法、設備及系統
TWI612172B (zh) * 2012-02-14 2018-01-21 諾發系統有限公司 用於電漿活化保形膜沉積之前驅物
TW201623682A (zh) * 2014-09-24 2016-07-01 蘭姆研究公司 原子層沉積所形成的氮化矽膜之特徵部內溼蝕刻速率的均勻降低用方法及設備
TW201732925A (zh) * 2016-03-13 2017-09-16 應用材料股份有限公司 用於選擇性乾式蝕刻的方法及設備
US20200176241A1 (en) * 2018-11-30 2020-06-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates

Also Published As

Publication number Publication date
US20220028680A1 (en) 2022-01-27
KR20230043909A (ko) 2023-03-31
CN116324021A (zh) 2023-06-23
WO2022020195A1 (en) 2022-01-27
US11276570B2 (en) 2022-03-15
JP2023536422A (ja) 2023-08-25
TW202219304A (zh) 2022-05-16

Similar Documents

Publication Publication Date Title
TWI804706B (zh) 氧化矽之拓撲選擇性膜形成之方法
TWI773446B (zh) 氮化矽膜之多層沉積及處理
US10916434B2 (en) Feature fill with multi-stage nucleation inhibition
CN108140578B (zh) 通过表面毒化处理的由下而上的间隙填充
TWI424498B (zh) 用以改良介電薄膜之階梯覆蓋與圖案負載的方法
US9741558B2 (en) Selectively lateral growth of silicon oxide thin film
CN107342216B (zh) 等离子体活化保形电介质膜沉积
TWI775839B (zh) 具有選擇性阻隔層的結構
WO2013148444A1 (en) Tungsten feature fill with nucleation inhibition
KR20170128572A (ko) 펄스화된 질화물 캡슐화
JP7176106B2 (ja) 誘電体材料の堆積方法
TW202225444A (zh) 基板處理方法及基板處理系統
JP2020517097A (ja) アモルファスシリコン間隙充填を改善するための表面改質
US10515796B2 (en) Dry etch rate reduction of silicon nitride films
JP7374308B2 (ja) 誘電体材料を堆積する方法及び装置
KR20230134554A (ko) 펄스형 hfrf(high-frequency radio-frequency) 플라즈마를사용한 갭 충전 프로세스
JP2023553273A (ja) 半導体デバイス形成のための下部層膜
TWI758464B (zh) 含矽間隔物的選擇性形成
TWI751326B (zh) 自對準通孔處理流程
KR20170129234A (ko) 결함 평탄화
TW201903834A (zh) 自對準觸點與閘極處理流程
TWI837174B (zh) 沉積介電材料之方法
US20240120193A1 (en) Carbon replenishment of silicon-containing material
US11538677B2 (en) Systems and methods for depositing high density and high tensile stress films
TWI798215B (zh) 選擇性側壁間隔物