CN116324021A - 氮化硅膜的多层沉积和处理 - Google Patents

氮化硅膜的多层沉积和处理 Download PDF

Info

Publication number
CN116324021A
CN116324021A CN202180064959.XA CN202180064959A CN116324021A CN 116324021 A CN116324021 A CN 116324021A CN 202180064959 A CN202180064959 A CN 202180064959A CN 116324021 A CN116324021 A CN 116324021A
Authority
CN
China
Prior art keywords
plasma
silicon nitride
processing
nitride material
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202180064959.XA
Other languages
English (en)
Inventor
V·V·瓦茨
B·K·安
S·李
H·俞
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN116324021A publication Critical patent/CN116324021A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Health & Medical Sciences (AREA)
  • Toxicology (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

示例处理方法可包括形成含硅和氮前驱物的第一沉积等离子体。方法可包括利用第一沉积等离子体在半导体基板上沉积氮化硅材料的第一部分。可形成含氦和氮前驱物的第一处理等离子体以利用第一处理等离子体来处理氮化硅材料的第一部分。第二沉积等离子体可沉积氮化硅材料的第二部分,且第二处理等离子体可处理氮化硅材料的第二部分。第一处理等离子体中的氦气对氮气的流率比可低于第二处理等离子体中的He/N2流率比。来自形成第一处理等离子体的等离子体功率源的第一功率水平可低于形成第二处理等离子体的第二功率水平。

Description

氮化硅膜的多层沉积和处理
相关申请的交叉引用
本申请主张于2020年7月22日提交的题为“MULTI-LAYER DEPOSITION ANDTREATMENT OF SILICON NITRIDE FILMS(氮化硅膜的多层沉积和处理)”的美国专利申请案第16/935,423号的优先权,所述申请的全部内容通过引用并入本文。
技术领域
本技术涉及半导体处理。更具体地,本技术涉及沉积和处理包括氮化硅膜的材料的方法。
背景技术
通过在基板表面上产生经复杂地图案化的材料层的处理使得集成电路成为可能。在基板上产生经图案化的材料需要形成和去除暴露材料的受控的方法。随着器件尺寸不断缩小并变得更加复杂,材料形成可能会影响后续操作。例如,在阻挡层形成操作中,可以形成或沉积材料以在形成在半导体基板上的沟槽或其他特征中产生阻挡层。由于特征可由减小的临界尺寸、较低的热预算、对污染物的更高敏感性和对于应力的更高敏感性表征,因此这些阻挡物形成操作可能受到挑战。例如,沉积的阻挡层的处理可能需要超过在半导体基板上或半导体基板中形成的器件的热预算的高温热退火。在另外的示例中,沉积的阻挡层可能需要高功率等离子体处理,其在形成于半导体基板中的特征中和特征周围产生空隙和颗粒。热退火、等离子体处理、和其他类型的处理也可以改变刚沉积(as-deposited)的材料的空间尺寸,这可以在相邻的基板特征上施加应力。另一方面,对刚沉积的材料的不充分处理会产生气密性差的可渗透阻挡层。这会影响器件效能和后续的处理操作。
因此,需要可用于生产高质量器件和结构的改进的系统和方法。这些和其他需求由本技术解决。
发明内容
本技术可用于形成具有高气密性和机械强度的阻挡物膜,同时避免常规阻挡物膜形成方法所遇到的不想要的空隙、颗粒和高应力。本技术包括用于沉积和处理诸如氮化硅材料的阻挡材料的连续部分以形成阻挡物膜的示例性处理方法。阻挡材料的较早部分可以利用由具有比阻挡材料的较晚部分更高的氦气对氮气的流率比的处理气体混合物所形成的更高能量的处理等离子体来处理。与阻挡材料的后续经处理的部分相比,更高能量且富含氦的较早处理产生具有更高密度和气密性的阻挡材料的经处理部分。当阻挡材料包括氮化硅时,较早处理的部分也比氮化硅阻挡材料的等量的后续经处理的部分具有更多的Si-N键和更少的Si-H键。
本技术的示例处理方法产生由阻挡材料的连续沉积和处理的部分构成的阻挡物膜。阻挡物膜中阻挡材料的较早沉积和经处理的部分比较晚沉积和处理的部分具有更高的密度、气密性、和应力。这使阻挡物膜在靠近与半导体基板的界面处具有高气密性,同时降低可能会影响周围的基板和基板特征的阻挡物膜生成的整体应力。例如,后续层可以以相反类型的应力沉积(例如,以拉伸应力沉积的后续层以平衡具有压应力的下层)中和层的总应力。在一些实施例中,阻挡材料的较晚沉积的部分可以比阻挡材料的较早部分更大(例如,更厚)且沉积得更快,以减少完成阻挡物膜的总生产时间。在进一步的实施例中,较大且较快沉积的较晚部分可填充较早沉积部分中的开口(例如,针孔)。在阻挡材料的这些较晚沉积的部分的处理操作期间使用的较低能量和较低的氦气对氮气流率比使这些部分中空隙和颗粒的生成最小化。
示例处理方法包括形成包含氮化硅的阻挡物膜。这些处理方法可包括多个沉积和处理周期,每个周期包括沉积操作和处理操作以形成氮化硅阻挡材料的经处理的部分。沉积操作可包括形成一种或多种沉积前驱物的沉积等离子体,所述沉积前驱物包括含硅前驱物和含氮前驱物。在一些实例中,含硅前驱物和含氮前驱物是相同的前驱物(例如胺基硅烷前驱物)。在另外的实例中,含硅前驱物和含氮前驱物是组合在一起的不同前驱物(例如,硅烷和氨)。方法可进一步包括利用一种或多种沉积前驱物的等离子体流出物在半导体基板上沉积氮化硅阻挡材料的一部分。
每个沉积操作之后的处理操作可包括形成包括氦气和氮气的处理气体混合物的处理等离子体。处理等离子体可通过利用设置为功率水平的等离子体功率源对处理气体混合物通电(energizing)(即,撞击)来形成。沉积的氮化硅材料的较早部分可以利用处理气体混合物所形成的处理等离子体来处理,所述处理气体混合物具有更高的氦气对氮气流率比,所述处理等离子体由设置为比氮化硅材料的后续沉积和处理部分更高的功率水平的等离子体功率源通电。
在执行多个沉积和处理周期之后,可以完成包含氮化硅的阻挡物膜。为完成阻挡物膜而执行的沉积和处理周期的数量可包括至少两个周期、至少三个周期、至少四个周期、至少五个周期、至少十个周期、至少二十个周期、至少三十个周期、至少四十个周期、至少五十个周期、至少六十个周期、至少七十个周期、至少八十个周期、至少九十个周期、至少一百个周期,以及其他范围。
相对于常规的系统和技术,本技术可提供许多益处。例如,通过根据本技术的实施例执行处理操作,可以限制或控制由阻挡层的形成所引起的空隙和颗粒的形成。结合以下描述和附图更详细地描述了这些和其他实施例以及它们的许多优点和特征。
附图说明
通过参照说明书的其余部分和附图,可以实现对所公开的技术的性质和优点的进一步理解。
图1示出了根据本技术的一些实施例的示例性处理系统的俯视图。
图2示出了根据本技术的一些实施例的示例性处理腔室的示意性截面图。
图3示出了根据本技术的一些实施例的处理方法中的示例性操作。
图4示出了根据本技术的附加实施例的处理方法中的示例性操作。
图5A和图5B示出根据本技术的一些实施例的在处理期间的基板的示意性截面图。
附图中的一些附图作为示意图包含在内。应理解,附图仅用于说明性目的,除非特别说明是按比例,否则不应视为按比例。此外,作为示意,提供了附图以帮助理解,并且与实际表示相比,附图可能不包括所有方面或信息,并且出于说明性目的,可能包括放大的材料。
在附图中,相似的部件和/或特征可具有相同的附图标记。此外,相同类型的各种部件可以通过在附图标记后加上一个在相似部件之间进行区分的字母来进行区分。如果在说明书中仅使用第一附图标记,则所述描述可应用于具有相同第一附图标记的任何一个类似的部件,而与字母无关。
具体实施方式
本技术包括在半导体基板上形成阻挡物膜的处理方法和系统。处理方法和系统的实施例包括在半导体基板上形成包含氮化硅的阻挡物膜的方法和系统。含氮化硅材料可用于许多结构和处理的半导体器件制造中,包括作为阻挡材料,例如作为电荷捕捉材料、封装材料、介电阻挡材料和蚀刻停止材料,及其他功能。这些氮化硅阻挡物膜可以永久或临时地并入半导体器件结构中,所述半导体器件结构包括动态随机存取存储器(DRAM)器件、静态随机存取存储器(SRAM)器件和相变随机存取存储器(PRAM)器件及其他种类的半导体器件。
形成氮化硅阻挡物膜的常规处理方法利用低温等离子体增强化学气相沉积(PECVD)来形成具有高氢含量(例如SiNH)的氮化硅阻挡材料,这有助于在半导体基板上形成可具有一个或多个特征的共形层。接着对在半导体基板上最初形成的氮化硅材料进行处理以去除一部分氢并形成具有增加的气密性的阻挡物膜。处理操作包括高温热退火和高能等离子体处理,这两者都会在正被形成的半导体器件结构中产生处理缺陷。这些缺陷包括材料和结构在高温退火超过形成半导体器件的热预算时的热崩溃。他们还包括在高能等离子体处理快速破坏刚沉积的氮化硅材料中的许多Si-H键时形成空隙、颗粒、和高应力。在单个沉积和处理周期中形成具有高气密性的氮化硅阻挡物膜的常规处理方法中经常遇到这些缺陷及其他缺陷。也在周期之间以相对恒定的处理条件沉积和处理连续的氮化硅阻挡物膜的层的常规处理方法中遇到这些缺陷。随着特征尺寸不断缩小和基板特征继续变得更加复杂,由形成氮化硅阻挡物膜的常规处理方法产生的缺陷增加了装置故障率。
本技术包括通过在具有降低的气密性的两个或更多个部分中形成氮化硅阻挡物膜来减少或消除这些缺陷的处理方法和系统。在一些实施例中,经沉积和处理的阻挡物膜的第一部分由高气密性表征,而至少第二部分由较低的气密性表征。然而,较高的等离子体功率和较高的氦气浓度处理可能会增加气泡的形成。在进一步的实施例中,在基板上形成的氮化硅阻挡物膜是膜最靠近基板的部分由最高的气密性表征,而膜离基板最远的部分由最低的气密性表征,这可以部分地与较低的处理功率和处理前驱物中氦气的减少有关。在更进一步的实施例中,氮化硅阻挡物膜可以由从膜最靠近基板处的最高气密性到膜离基板最远处的最低气密性的气密梯度表征。
本技术的实施例包括沉积和处理连续部分的含氮化硅材料以形成具有降低的气密性的两个或更多个水平的氮化硅层(例如,SiN阻挡物膜)。在一些实施例中,含氮化硅材料的连续部分还可以由在沉积和处理期间由氢和/或氦的气泡形成而产生的空隙的减少的数量表征。可以使用在各部分之间不同的一组处理条件来沉积和处理含氮化硅材料的连续部分。这些处理条件可包括用于形成处理等离子体的处理气体混合物的氦与氮的流率比、用于形成处理等离子体的功率水平、以及用于含氮化硅材料的沉积的部分的沉积率,及其他处理条件。本技术的实施例包括以更高的等离子体功率和更高的氦与氮的流率比来处理沉积的含氮化硅材料的初始部分,以去除更多的氢并使材料更密封。随后沉积的含氮化硅材料的部分以较低的等离子体功率和较低的氦与氮的流率比进行处理,这减少了在处理材料中由离解的氢和氦形成的空隙。这些后续处理可能会留下更高的氢含量,从而降低材料的气密性。在描述了根据本技术的一些实施例的其中可以实行下文讨论的等离子体处理操作的腔室的一般方面之后,可以讨论具体的方法。应理解,本技术不旨在限于所讨论的特定膜、腔室、或处理,因为所描述的技术可用于改进许多阻挡物膜形成处理,并可适用于多种处理腔室和操作。
图1示出根据实施例的沉积、蚀刻、烘烤、及固化腔室的处理系统100的一个实施例的俯视平面图。在附图中,一对前开式标准舱102供应各种尺寸的基板,所述基板由机械臂104接收,且在放置至定位于串接部分109a-c中的基板处理腔室108a-f中的一者中之前放置至低压保持区域106中。第二机械臂110可用于将基板晶片从保持区域106传送到基板处理腔室108a-f并返回。除了等离子体增强化学气相沉积、原子层沉积、物理气相沉积、蚀刻、预清洁、脱气、定向、和包括退火、灰化等的其他基板处理之外,每个基板处理腔室108a-f可以被装备以执行多个基板处理操作,包括形成本文所述的半导体材料的堆叠。
基板处理腔室108a-f可包括用于在基板上沉积、退火、固化、致密化和/或蚀刻电介质、阻挡物、或其他膜的一个或多个系统部件。在一种配置中,处理腔室中的两对处理腔室(例如,108c-d和108e-f)可用于在基板上沉积材料,而处理腔室中的第三对处理腔室(例如,108a-b)可用于蚀刻沉积的材料。在另一种配置中,所有三对腔室(例如108a-f)可以被配置为在基板上沉积交替膜(例如,介电膜、阻挡物膜等)的堆叠。所描述的任何一种或多种处理都可以在与不同实施例中所示的制造系统分开的腔室中进行。应当理解,系统100考虑了用于介电膜的沉积、蚀刻、退火、固化、和致密化腔室的附加配置。
图2示出了根据本技术的一些实施例的示例性等离子体系统200的示意性截面图。等离子体系统200可图标一对处理腔室108,其可装配在上述的串接部分109中的一者或多者中,且可包括具体配置用于执行根据本技术的实施例的处理的部件或组件。等离子体系统200通常可包括腔室主体202,腔室主体202具有界定一对处理区域220A和220B的侧壁212、底壁216、和内侧壁201。处理区域220A-220B中的每一者可以类似地配置,并且可包括相同的部件。
例如,处理区域220B的部件也可以包括在处理区域220A中,处理区域220B可包括穿过形成在电浆系统200中的底壁216中的通路222设置在处理区域中的底座228。底座228可提供适于在底座的暴露表面(例如主体部分)上支撑基板229的加热器。底座228可包括加热元件232(例如电阻加热元件),其可在期望的处理温度下加热和控制基板温度。底座228还可由远程加热元件加热,例如灯组件或任何其他加热装置。
底座228的主体可通过凸缘233耦接到杆226。杆226可以将底座228与功率插座或功率箱203电耦接。功率箱203可包括控制底座228在处理区域220B内的升高和移动的驱动系统。杆226还可包括电源接口以向底座228提供功率。功率箱203还可包括用于功率和温度指示器的接口,例如热电偶接口。杆226可包括适于可拆卸地与功率箱203耦接的基座组件238。圆周环235被示出为功率箱203上方。在一些实施例中,圆周环235可以是适于作为机械止动件或台部(land)的肩部,其被配置为在基座组件238和功率箱203的上表面之间提供机械接口。
棒230可穿过形成在处理区域220B的底壁216中的通路224被包括并且可用于定位穿过底座228的主体设置的基板升举销261。基板升举销261可选择性地将基板229与底座间隔开,以促进与用于穿过基板传送端口260将基板229传送进和传送出处理区域220B的机器人的基板229的交换。
腔室盖204可以与腔室主体202的顶部耦接。盖204可容纳与其耦接的一个或多个前驱物分配系统208。前驱物分配系统208可包括前驱物入口通路240,其可将反应物和清洁前驱物穿过气体输送组件218输送到处理区域220B中。气体输送组件218可包括气箱248,其具有设置在面板246中间的挡板244。射频(“RF”)源265可与气体输送组件218耦接,其可为气体输送组件218供电以促进在气体输送组件218的面板246与底座228之间产生等离子体区域,所述等离子体区域可以是腔室的处理区域。在一些实施例中,RF源可以与腔室主体202的其他部分(例如底座228)耦接,以促进等离子体的产生。介电隔离器258可以设置在盖204和气体输送组件218之间,以防止将RF功率传导到盖204。遮蔽环206可以设置在底座228的周边上,与底座228接合。
选择性的冷却通道247可以形成在气体分配系统208的气箱248中以在操作期间冷却气箱248。诸如水、乙二醇、气体等的传热流体可循环穿过冷却通道247,使得气箱248可保持在预定温度。衬垫组件227可设置在处理区域220B内紧邻腔室主体202的侧壁201、212,以防止侧壁201、212暴露于处理区域220B内的处理环境。衬垫组件227可包括可耦接到泵送系统264的圆周泵送腔225,泵送系统264被配置为从处理区域220B排出气体和副产物并控制处理区域220B内的压力。在衬垫组件227上可形成多个排气端口231。排气端口231可被配置成以促进系统200内的处理的方式允许气体从处理区域220B流动到圆周泵送腔225。
图3示出了根据本技术的一些实施例的处理方法300中的示例性操作。方法可在多种处理腔室中(包括上述等离子体系统200)执行。方法300可包括在所述方法操作开始之前的一个或多个操作,包括前端处理、沉积、蚀刻、研磨、清洁、或可在所述操作之前实行的任何其他操作。方法可包括如图所示的多个选择性操作,其可或可不具体地与根据本技术的方法相关联。例如,描述了许多操作以提供半导体处理的更广泛的范围,但对技术来说并非关键,或者可以通过如下文进一步讨论的替代方法来实行。
方法300可涉及将半导体结构发展成特定制造操作的选择性操作。尽管在一些实施例中方法300可以在基础结构上执行,但是在一些实施例中所述方法可以在其他材料形成或去除之后执行。例如,可执行任何数量的沉积、掩模、或去除操作以在基板上产生任何晶体管、存储器、或其他结构方面。在一些实施例中,在基板上形成的一个或多个结构可以由小于或约500℃、小于或约450℃、小于或约400℃、小于或约350℃、小于或约300°C、小于或约250℃、小于或约200℃、或小于或约150℃或以下的热预算表征。因此,方法300和任何后续操作可以在处于或低于结构热预算的温度下执行。基板可以设置在基板支撑件上,所述基板支撑件可以定位在半导体处理腔室的处理区域内。产生底层结构的操作可以在可执行方法300的方面的相同腔室中执行,并且还可在与可执行方法300的操作的腔室类似的平台上或其他平台上的一个或多个腔室中执行一个或多个操作。
在一些实施例中,方法300可包括在基板上形成氮化硅阻挡物膜。方法可包括在操作305在容纳基板的处理区域中形成沉积等离子体。沉积等离子体的形成可包括提供包含含硅前驱物的一种或多种沉积前驱物。在一些实例中,含硅前驱物还包括一种或多个氮(例如胺基硅烷,例如三甲硅烷基胺(tri-silyl amine)),并且硅前驱物将硅和氮基团(groups)两者提供给氮化硅阻挡材料的沉积部分。在另外的实例中,含硅前驱物可以不包括氮基团(nitrogen group)(例如,硅烷,例如SiH4)并且一种或多种含氮前驱物(例如氨)可以与无氮含硅前驱物组合以形成沉积前驱物。一种或多种沉积前驱物可以与载气一起输送,包括惰性前驱物,例如氦气、氩气、和/或氮气(N2)。如果氮用作沉积前驱物的载气,则它也可以至少在一定程度上掺入(incorporated)在刚沉积的含氮化硅材料中。
在一些实施例中,供应沉积等离子体的含硅前驱物(例如,TSA)的流率可以在从每分钟10标准立方公分(sccms)到100sccm的范围内。如果向沉积等离子体提供附加的沉积前驱物(例如,NH3),则其可以以50sccm至150sccm的流率提供。如果向沉积等离子体提供载体前驱物(例如,N2),则可以以每分钟0.2标准升(slm)至4slm的流率提供。沉积等离子体可以形成在半导体处理腔室的处理区域中。处理区域的周边的一部分可包括暴露于沉积等离子体的半导体基板的表面和半导体处理腔室的面板。在一些实施例中,基板和面板之间的距离可以在200mils至500mils的范围内。
操作305中沉积等离子体的形成可包括以射频(RF)功率源对沉积前驱物通电。在一些实施例中,提供给沉积前驱物的RF功率的量可以在60瓦(W)至200瓦的范围内。在一些实施例中,等离子体形成处理可包括在等离子体产生期间脉冲等离子体功率。等离子体可以等离子体生成频率下生成,例如在一个非限制示例中为13.56MHz。等离子体功率还可在可小于或约10kHz,并且可小于或约9kHz、小于或约8kHz、小于或约7kHz、小于或约6kHz、小于或约5kHz、小于或约4kHz、小于或约3kHz、小于或约2kHz、小于或约1kHz,或更小的脉冲频率下脉冲。脉冲频率的工作周期可对等离子体产生提供“断电”时间的量。
在等离子体“断电”时段期间,可能不发生沉积。尽管先前形成的离子可迅速灭绝,自由基物质仍可接触基板且将能量传送至正在产生的阻挡物膜中。这可能会激活和破坏阻挡物膜内的键,其继而可能导致从沉积膜中去除的气态物质的形成。在高工作周期下,于沉积恢复之前时间不够用本文中效应。因此,在一些实施例中,工作周期可维持在小于或约50%下,并且可维持在小于或约45%下、小于或约40%下、小于或约35%下、小于或约30%下、小于或约25%下、小于或约20%下、小于或约15%下、小于或约10%下、小于或约5%下,或更少。这些技术中的任何一种,单独或组合地,可以减少在刚沉积的氮化硅材料中的氢掺入(incorporation)。
在操作310处,沉积等离子体可被流入处理区域的沉积前驱物撞击,并且氮化硅材料中的一部分可以沉积在基板上。当基板容纳在半导体处理腔室的处理区域中时,可以将氮化硅材料的一部分沉积在半导体基板上。半导体基板可以在半导体基板内界定一个或多个特征。氮化硅材料的部分可以沉积在半导体基板的一个或多个特征中以及基板的未被基板特征蚀刻的部分上或以其他方式成形的部分上。处理区域可至少部分地界定在面板和半导体基板所在的基板支撑件之间。
在一些实施例中,氮化硅材料的部分的沉积可以在具有小于或约550℃、小于或约500℃、小于或约450℃、小于或约400℃、小于或约350℃、小于或约300℃、小于或约250℃、小于或约200℃、或小于或约150℃、更小的热预算的半导体基板上进行。因此,氮化硅材料可以在这些温度中的任何一者或以下进行沉积以适应基底的材料,并且在一些实施例中,一个或多个操作(包括方法300的所有操作)可以在这些温度中的任何一者或以下执行,并且在整个处理期间可以将正在处理的基板保持在大约或低于这些温度的任一者。在一些实施例中,基板上氮化硅材料的沉积温度可以在200℃至300℃的范围内(例如,250℃至280℃的沉积温度范围)。在一些实施例中,在含氮化硅材料的沉积期间的处理压力可以大于或大约30mTorr,并且可以在大约30mTorr和大约20Torr之间。
可以利用包括硅、氮、和氢原子的沉积前驱物来沉积含氮化硅材料的部分。因此,氮化硅材料的刚沉积的部分可以由掺入的氢的第一量表征。掺入的氢的第一量可以大于或约3at.%、大于或约5at.%、大于或约7at.%、大于或约10at.%或更多。
氮化硅材料的刚沉积的部分可在可增加材料的密度和气密性的处理过程中进一步处理。处理过程可以在与沉积相同的腔室中执行,或者基板可以从第一处理腔室传送到第二处理腔室。在一些实施例中,第二腔室可以在相同的工具上(例如先前描述的),并且可以在保持基板的真空条件的同时执行转移。所述处理过程可以被配置为通过将附加的能量传送到刚沉积的材料中并断开氢基团与其他原子(例如硅、氮、和碳原子)的键结来减少在刚沉积的氮化硅材料中的掺入的氢的量。在处理操作之后,这可以将刚沉积的材料中的氢量从第一量减少到材料中的氢的第二、较低的量。氢掺入的第二量可小于或约2at.%,并且可小于或约1.5at.%、小于或约1.0at.%、小于或约0.5at.%、或更少。
对刚沉积的含氮化硅材料的处理可包括在操作315处形成处理等离子体。处理等离子体的形成可包括提供一种或多种处理前驱物,例如氦气和氮气(N2)的混合物。等离子体可从流入处理区域的处理前驱物被撞击,并且等离子体流出物(例如氦和氮离子(N+、N2 +))可接触沉积的氮化硅材料。接触沉积的氮化硅材料的至少一些等离子体流出物的冲击能量可能超过用于破坏嵌入氮化硅材料中的氢基团的键结的阈值能量。至少一些分离的氢基团可以重新形成为从氮化硅材料中去除的物质。例如,至少一些分离的氢基团可以重新形成为从氮化硅材料中去除的分子氢(H2)。在一些情况下,处理等离子体的流出物破坏硅-氢键并利用在氮化硅材料中形成新的硅-氮键的氮基团替换被置换的氢基团。在操作320中,硅-氢键数量的减少和硅-氮键数量的增加两者都使氮化硅材料致密。
在一些实施例中,在操作315处形成处理等离子体包括提供作为氦气和氮气(N2)的混合物的处理前驱物。氦气与氮气的流率比(He:N2)可以在处理周期之间改变。例如,在氮化硅材料的部分的较早沉积之后的较早处理操作的氦气与氮气的流率比(即,He:N2流率比)可能高于在氮化硅材料的部分的较晚沉积之后的较晚(例如,后续)处理过程的He:N2流率比。在一些实施例中,He:N2的流率比可以随着在含氮化硅阻挡物膜的多层沉积中执行的每个处理等离子体操作而逐渐降低。在另外的实施例中,He:N2的流率比可以随着每个处理操作而逐渐减小,直到达到He:N2比的下限,所述下限对于连续的处理等离子体操作保持相同,直到含氮化硅的阻挡物膜的形成已完成。在一些实施例中,He:N2的流率比可以在0.1到10的范围内。
例如,从氦气与氮气的初始流率比开始,连续处理操作可连续降低氦气流率,同时连续增加氮气流率。例如,每个连续处理可以将氦气流率降低大于或约300sccm,并且可将氦气流率降低大于或约400sccm、大于或约500sccm、大于或约600sccm、大于或约700sccm、大于或约800sccm、大于或约900sccm、大于或约1000sccm、大于或约1100sccm、大于或约1200sccm、大于或约1300sccm、大于或大约1400sccm、大于或约1500sccm,或更多。例如,每个连续处理可以将氮气流率增加大于或约500sccm,并且可将氮气流率增加大于或约600sccm、大于或约700sccm、大于或约800sccm、大于或约900sccm、大于或约1000sccm、大于或约1100sccm、大于或约1200sccm、大于或约1300sccm、大于或约1400sccm、大于或约1500sccm,或更多。
氦气与氮气的更高流率比(在一些实施例中可以结合更高功率水平来完成以形成处理等离子体)可以产生具有更高气密性的经处理的含氮化硅材料,因为大量的高能氦在沉积材料中解离更多的氢。游离的氦气和氢气会在材料中产生气泡,所述气泡增加在经处理的材料中的空隙数量。在一些实施例中,可通过沉积对于大量气泡来说太薄而无法形成(例如,没有气泡形成)的含氮化硅材料的一部分,来减少或消除气泡的量。可以以较低的氦气与氮气的流率比来处理含氮化硅材料的另外部分,并且在一些实施例中,以针对处理等离子体的较低功率水平,以形成具有较少解离氢、较低气密性、和更少的空隙的经处理的部分。在一些实施例中,含氮化硅材料的这些附加部分也可以形成为具有比初始部分更大的厚度和更高的沉积率。最终的氮化硅层可以由从经沉积和处理的材料的初始部分到最终部分的降低的气密性(并且在一些实施例中,空隙的减少数量)表征。
处理等离子体的形成还可包括以RF功率源对处理前驱物通电。在一些实施例中,可以以从10W到1000W的范围的功率向处理前驱物连续供应RF功率。附加的射频功率范围包括100W至800W、200W至700W、和300W至600W,以及其他范围。等离子体可在等离子体生成频率下生成,例如在一个非限制示例中为13.56MHz。在一些实施例中,用于形成处理刚沉积的氮化硅材料的较早部分的较早处理等离子体的RF功率水平可大于用于形成用于处理刚沉积的氮化硅材料的较晚部分的较晚(例如,后续)处理等离子体的RF功率水平。例如,用于形成较早处理等离子体的RF功率水平可以是600W,而用于形成较晚处理等离子体的RF功率水平可以是500W。
当已执行多个沉积和处理周期时,在操作325处完成含氮化硅阻挡物膜的形成。在一些实施例中,可以在至少两个沉积和处理周期中形成膜,其中沉积的氮化硅材料的第一部分的厚度小于沉积的氮化硅材料的第二部分和后续部分的厚度。例如,沉积在基板上的氮化硅材料的第一部分可以小于膜的厚度的50%、小于膜的厚度的40%、小于膜的厚度的30%、小于膜的厚度的20%、小于膜的厚度的10%、小于膜的厚度的5%,或更少。
如上所述,在一些实施例中,含氮化硅阻挡物膜的第一部分可具有比阻挡物膜的第二部分和(如果包括的话)后续部分更高的气密性。阻挡物膜的第一部分(其可以是最靠近在其上形成阻挡物膜的基板的部分)由其气密性比阻挡物膜的第二部分或后续部分的气密性大或高约10%、比阻挡物膜的第二部分的气密性大或高约15%、比阻挡物膜的第二部分的气密性大或高约20%、比阻挡物膜的第二部分的气密性大或高约25%、比阻挡物膜的第二部分的气密性大或高约30%、比阻挡物膜的第二部分的气密性大或高约40%、比阻挡物膜的第二部分的气密性大或高约50%,或更多表征。在另外的实施例中,含氮化硅阻挡物膜的第一部分可具有大于或约等于阻挡物膜的第二部分和(如果包括的话)后续部分的空隙数量。
例如,含氮化硅阻挡物膜的第一部分可以比阻挡物膜的第二部分具有多于或约5%的更多的空隙、比阻挡物膜的第二部分具有多于或约10%的更多的空隙、比阻挡物膜的第二部分具有多于或约15%的更多的空隙、比阻挡物膜的第二部分具有多于或约20%的更多的空隙、比阻挡物膜的第二部分具有多于或约25%的更多的空隙、比阻挡物膜的第二部分具有多于或约50%的更多的空隙,或更多。此外,每个后续膜层可以由如前所述的减少的空隙形成表征。例如,每个后续层可以以空隙的线性减少表征,因为每个先前层可以由比每个后继层多于或约5%的更多的空隙表征,以及空隙或气泡由针对空隙或气密性的如上所述的任一百分比的减少表征。
为了限制排队时间的减少,可以在沉积腔室内执行等离子体处理操作,并且可以在与沉积腔室相同的工具上的腔室中执行其他能量处理。通过利用本技术的一个或多个方面,与传统技术相比,可以减少氮化硅膜内的氢掺入以及空隙和颗粒的数量。此外,所描述的处理可以在比许多常规技术更低的温度下执行,这可以适应可能受热预算约束的结构。
图4示出了根据本技术的一些实施例的处理方法400中的示例性操作。方法可在多种处理腔室中执行,包括上述等离子体系统200。与上述方法300类似,方法400可包括在所述方法操作起始之前的一个或多个操作以及在所述方法操作之后的一个或多个操作。方法400可包括在基板上沉积含氮化硅材料的初始部分405。所述初始部分可以采用氮化硅材料的相对薄层(例如,2-5nm厚)的形状。在一些实施例中,方法400可进一步包括对刚沉积的含氮化硅材料的初始部分的处理操作。选择性的处理操作(未示出)可包括将含氮化硅材料的初始部分暴露于低能处理等离子体(例如,200瓦或更少),所述等离子体可将材料的初始部分重新分布在基板上而基本上没有破坏材料中的Si-H键。低能处理等离子体可由包括氦气和氮气的处理气体混合物形成。处理气体混合物可具有氦气与氮气流率比大于方法400中使用的后续处理气体混合物的流率比。如上所述,低能量处理等离子体不会产生能量足以破坏最初沉积的含氮化硅材料中的Si-H键的大量的等离子体流出物。因此,尽管低能量处理等离子体的能量足以重新分布刚沉积的初始材料中的一些,但它不会实质改变材料中的氢含量、增加其密度、或增加其气密性。
在基板上含氮化硅材料的初始部分的沉积和选择性的处理之后,方法400包括两个或更多个沉积和处理周期以将经处理的含氮化硅材料的部分构建成含氮化硅阻挡物膜。这些沉积和处理周期中的第一个周期包括在含氮化硅材料的初始部分上沉积含氮化硅材料的下一部分410。沉积操作410可包括形成沉积等离子体并且从等离子体的流出物沉积含硅和氮材料的下一部分。可以利用处理等离子体415来处理刚沉积的含氮化硅材料的下一部分。与先前的低能处理等离子体相对地,处理操作415中的处理等离子体的能量足以改变刚沉积的含氮化硅材料的组成。在一些实施例中,处理操作415中的处理等离子体被提供用于在方法400中执行的沉积和处理周期中的处理等离子体的最高功率水平(例如,范围从600瓦到1000瓦的功率水平)。在一些实施例中,在处理操作415中形成处理等离子体的处理气体混合物可具有氦气对氮气流率比比在方法400中执行的任何后续处理操作中的更高。在每个附加沉积操作之后形成的每个后继处理操作中,可以进一步降低等离子体功率以减少膜的层到层内的气泡。例如,每个后继的处理操作可进一步将等离子体功率降低大于或约40W,并且可将等离子体功率降低大于或约50W、大于或约60W、大于或约70W、大于或约80W,或更多。因此,最终层处理可以在小于或约500W的等离子体功率下执行,且可在小于或约480W、小于或约460W、小于或约440W、小于或约420W、小于或约400W、小于或约380W、小于或约360W、小于或约340W、小于或约320W,或更少的等离子体功率下执行。
方法400是本技术的实施例,其进一步包括在含氮化硅材料的下一部分的沉积和处理之后的至少第二沉积和处理周期。第二沉积和处理周期包括沉积含氮化硅材料的附加部分420。含氮化硅材料的附加部分可以沉积在含氮化硅材料的经处理的下一部分上。沉积操作420可包括由一种或多种沉积前驱物形成沉积等离子体,以及从等离子体流出物沉积含硅和氮材料的附加部分。在一些实施例中,含硅和氮材料的附加部分的沉积率和/或沉积量可以大于含硅和氮材料的先前的下一部分的沉积率和/或沉积量。在这些实施例的一些中,供应沉积等离子体的沉积前驱物的流率可大于供应形成含氮化硅材料的先前部分的沉积等离子体的沉积前驱物的流率。实施例可包括含硅前驱物(例如,TSA、硅烷)的增加的流率,并且如果在沉积前驱物中包括含氮前驱物(例如,NH3),则实施例可进一步包括增加其流率。例如,与多个周期的先前沉积操作相比,每个后继沉积可包括相似或增加的流率。例如,在每个后继沉积中,氨或另一种含氮前驱物的流率可增加大于或约10sccm。可以利用处理等离子体来处理刚沉积的含氮化硅材料的附加部分425。处理等离子体可由设置为比用于形成处理氮化硅材料的先前的下一部分的处理等离子体的功率水平低的等离子体功率水平的等离子体电源形成。
方法400的实施例可进一步包括完成含氮化硅阻挡物膜430。在方法400中所示的实施例中,在含氮化硅材料的初始部分的沉积和选择性处理之后的至少两个沉积和处理周期之后完成含氮化硅阻挡物膜。完成的含氮化硅阻挡物膜可包括最靠近基板的部分,所述部分具有阻挡物膜的任何部分中最高的气密性、最高的密度、和最低的氢浓度。完成的含氮化硅阻挡物膜还可包括距离基板最远的部分,所述部分具有阻挡物膜的任何部分中最高的氢浓度和最低的应力。
图5A和图5B示出根据本技术的一些实施例的在处理期间基板的示例示意性截面图。截面图示出了根据本技术的一些实施例的在处理方法300和400中描述的不同操作之后的结构500的一部分。处理腔室200可在本技术的一些实施例中用于处理方法300和400,所述方法可包括用于半导体结构的氮化硅材料的形成和处理。应理解,所描述的腔室不被认为是限制性的,并且可类似地使用可被配置为执行所描述的操作的任何腔室。方法300和400可包括多个选择性操作,其可以或可以不与根据本技术的方法的一些实施例具体地相关联。例如,描述了许多操作以提供结构形式的更广泛范围,但是对技术不是关键的,或者可以通过容易理解的替代方法来执行。方法300和400可以描述图5A和图5B中示意性示出的操作。应理解,附图仅图示了部分示意图,并且基板可包含具有如图所示的各种特性和方面的任意数量的附加材料和特征。
已在其上执行许多操作的基板可以是结构500的基板505,其可示出可在其上执行半导体处理的基板的局部视图。应理解,结构500在处理期间可仅示出几个顶层以说明本技术的方面。基板505可包括其中可形成一个或多个特征510的材料。基板505可以是用于半导体处理的任何数量的材料。基板材料可以是或包括硅、锗、包括氧化硅或氮化硅的介电材料、金属材料、或这些材料的任意数量的组合,其可以是基板505或形成在结构500中的材料。根据本技术,特征510可以由任何形状或配置表征。在一些实施例中,特征可以是或包括形成在基板505内的沟槽结构或孔。
尽管特征510可以是以任何形状或尺寸为特征,但是在一些实施例中特征510可以由更高的长宽比表征,或者由特征的深度与跨特征的宽度的比率表征。例如,在一些实施例中,特征510可以由大于或约5:1的长宽比表征,并且可以由大于或约10:1、大于或约15:1、大于或约20:1、大于或约25:1、大于或约30:1、大于或约40:1、大于或约50:1或更大的长宽比表征。此外,特征可以由跨越包括两个侧壁之间的特征的窄宽度或直径被征,例如小于或约20nm的尺寸,并且可以由跨越特征的宽度小于或约15nm,小于或约12nm、小于或约10nm、小于或约9nm、小于或约8nm、小于或约7nm、小于或约6nm、小于或约5nm、或更小表征。
在一些实施例中,方法300和400可包括选择性的处理操作(例如预处理),其可被执行以准备用于沉积的基板405的表面。一旦准备好,方法300和400可包括将一种或多种前驱物传送到容纳结构500的半导体处理腔室的处理区域。前驱物可包括一种或多种含硅和氮的前驱物,以及一种或多种稀释剂或载气,例如惰性气体或与含硅和氮的前驱物一起输送的其他气体。在操作310处,等离子体可由包括含硅和氮的前驱物的沉积前驱物形成。沉积等离子体可以形成在处理区域内,这可以允许氮化硅沉积材料沉积在基板上。例如,在一些实施例中,电容耦合的等离子体可以通过如前所述地向面板施加等离子体功率而在处理区域内形成。可通过向面板或喷头施加等离子体功率来形成所形成的沉积等离子体,并且在一些实施例中可以不耦接其他功率源。
如图5A所示,氮化硅材料515可以沉积在基板405上,并且可沉积到沟槽或特征510中。如图所示,沉积材料515可以沉积到特征的底部中。
如图5B所示,在一定量的沉积之后,可以进行处理操作以将氮化硅材料致密化、增加氮化硅材料的气密性、并降低氮化硅材料的氢含量。此处理可以在与沉积相同的腔室中执行。在一些实施例中,可以停止含硅和氮的前驱物流并且可净化处理区域。在净化之后,处理前驱物可以流入处理腔室的处理区域。可以形成处理等离子体,其可以是形成在处理区域内的电容耦合等离子体。处理操作在经处理的氮化硅材料520中提供减少的氢掺入,例如小于或约40at.%的氢掺入,并且可提供小于或约35at.%、小于或约30at.%、小于或约25at.%、小于或约20at.%、小于或约15at.%、小于或约10at.%、小于或约5at.%,或更少的减少的氢掺入。
尽管刚沉积的氮化硅材料的沉积可以形成为几纳米或更大,但是通过执行如前所述的沉积处理,可以将经处理的氮化硅材料的厚度控制为小于或约
Figure BDA0004139255490000171
的厚度,并且可以小于或约/>
Figure BDA0004139255490000172
小于或约/>
Figure BDA0004139255490000173
小于或约/>
Figure BDA0004139255490000174
小于或约/>
Figure BDA0004139255490000175
小于或约/>
Figure BDA0004139255490000176
小于或约/>
Figure BDA0004139255490000177
小于或约/>
Figure BDA0004139255490000178
小于或约/>
Figure BDA0004139255490000181
小于或约/>
Figure BDA0004139255490000182
或更小。通过控制刚沉积的氮化硅材料的每个部分的厚度,可以解决常规处理中常见的处理等离子体的穿透问题。如图4C所示,沉积在基板上和特征中的材料415可以贯穿材料的整个深度被转化为经处理的氮化硅材料420。接着可重复沉积和处理操作以继续在基板上和/或基板特征中产生完整的阻挡物膜。
在前面的描述中,出于解释的目的,已阐述许多细节以便提供对本技术的各种实施例的理解。然而,对本领域技术人员将显而易见的是,可以在没有这些细节中的一些或具有其他细节的情况下实施某些实施例。
已经公开了几个实施例,本领域技术人员将认识到,在不脱离实施例的精神的情况下,可以使用各种修改、替代构造、和等效物。此外,为了避免不必要地混淆本技术,没有描述许多已知的处理和元件。因此,以上描述不应被视为限制本技术的范围。
在提供值的范围的情况下,应理解到,除非上下文另外明确指出,否则在此范围的上限和下限之间的每个中间的值,到下限的单位的最小部分,都还明确公开。涵盖了在描述的范围内的任何描述的值或未描述的中间值与所述描述的范围内的任何其他描述的或中间值之间的任何较窄的范围。这些较小范围的上限和下限可以独立地包括在所述范围中或排除在所述范围之外,且在界限的一者、均没有、或两者均被包括在所述较小范围内的每个范围还被涵盖于本技术之中,针对受描述的范围内任何明确排除的界限。在所述范围包括界限的一者或两者的情况下,还包括排除那些所包括的界限中的一者或两者的范围。
如本文和随附权利要求书中所使用的,单数形式的“一”、“一个”、和“所述”包括多参照,除非上下文有另外明确指出。因此,例如,对于“前驱物”的参照包括多个这种前驱物,并且对“所述层”的参照包括对所属技术领域具有通常知识者为已知的一个或多个层及其等效物,等等。
而且,当在本说明书和随附权利要求书中使用时,用语“包括(comprise(s))”、“包括(comprising)”、“包含(contain(s))”、“包含(containing)”、“包括(include(s))”、和“包括(including)”是旨在于指名所描述的特征、整体、部件、或操作的存在,但是它们并不排除一个或多个其他特征、整体、部件、操作、动作、或组的存在或增加。

Claims (20)

1.一种处理方法,包括以下步骤:
在半导体基板上沉积和处理氮化硅材料的第一部分,其中所述氮化硅材料的所述第一部分利用第一沉积等离子体来形成并利用第一处理等离子体来处理;
在所述氮化硅材料的经处理的所述第一部分上沉积氮化硅材料的第二部分,其中所述氮化硅材料的所述第二部分利用由包括含硅前驱物的一种或多种沉积前驱物形成的第二沉积等离子体来沉积;
利用由包含氦气和氮气的第二处理气体混合物形成的第二处理等离子体来处理所述氮化硅材料的所述第二部分;
在所述氮化硅材料的经处理的所述第二部分上沉积所述氮化硅材料的第三部分,其中所述氮化硅材料的所述第三部分利用第三沉积等离子体来沉积;以及
利用由包含氦气和氮气的第三处理气体混合物形成的第三处理等离子体来处理所述氮化硅材料的所述第三部分,
其中,所述第二处理气体混合物的氦气对氮气的流率比大于所述第三处理气体混合物的氦气对氮气的流率比。
2.如权利要求1所述的处理方法,其中所述第一处理等离子体由包含氦气和氮气的第一处理气体混合物所形成,且其中所述第一处理气体混合物的氦气对氮气的流率比大于所述第二处理气体混合物的氦气对氮气的所述流率比。
3.如权利要求1所述的处理方法,其中所述第二处理等离子体利用设置为第二功率水平的等离子体功率源所形成,且所述第三处理等离子体利用设置为第三功率水平的所述等离子体功率源所形成,且其中所述第二功率水平大于所述第三功率水平。
4.如权利要求3所述的处理方法,其中所述第一处理等离子体利用设置为小于所述第二功率水平和所述第三功率水平的第一功率水平的所述等离子体功率源所形成。
5.如权利要求4所述的处理方法,其中所述第一功率水平为200瓦或更小。
6.如权利要求1所述的处理方法,其中所述第二沉积等离子体利用以脉冲模式操作的等离子体功率源所形成。
7.如权利要求1所述的处理方法,其中所述第二处理等离子体利用以连续波模式操作的等离子体功率源所形成。
8.如权利要求1所述的处理方法,其中形成所述第二沉积等离子体的所述一种或多种沉积前驱物进一步包括含氮前驱物。
9.如权利要求8所述的处理方法,其中所述含氮前驱物包括氨或双原子氮。
10.如权利要求1所述的处理方法,其中利用所述第二处理等离子体来处理所述氮化硅材料的所述第二部分的步骤包括以下步骤:将所述氮化硅材料的所述第二部分致密化,增加所述氮化硅材料的所述第二部分的气密性,以及降低所述氮化硅材料的所述第二部分的氢含量。
11.如权利要求1所述的处理方法,其中所述含硅前驱物包括硅烷和胺基硅烷中的至少一种。
12.如权利要求1所述的处理方法,其中所述氮化硅材料的所述第二部分具有厚度,所述厚度小于所述氮化硅材料的所述第三部分的厚度。
13.一种处理方法,包括以下步骤:
在半导体基板上执行沉积和处理氮化硅材料的两个或更多个周期;
其中沉积和处理所述氮化硅材料的所述两个或更多个周期中的每一个周期包括:
利用沉积等离子体的等离子体流出物来沉积氮化硅材料,其中所述沉积等离子体由包含含硅前驱物的一种或多种沉积前驱物所形成;以及
利用处理等离子体来处理所述氮化硅材料,其中所述处理等离子体由包含氦气和氮气的处理气体混合物所形成,
其中,在沉积和处理氮化硅材料的先前周期中的所述处理气体混合物的氦气对氮气的流率比大于在沉积和处理氮化硅材料的后续周期中的所述处理气体混合物的氦气对氮气的流率比。
14.如权利要求13所述的处理方法,其中所述处理等离子体利用等离子体功率源形成,且其中所述等离子体功率源被设置为用于沉积和处理氮化硅材料的所述先前周期的功率水平,所述功率水平大于用于沉积和处理氮化硅材料的所述后续循环的功率水平。
15.如权利要求14所述的处理方法,其中所述半导体基板包括沉积在所述基板特征中的氮化硅材料的初始层。
16.如权利要求15所述的处理方法,其中氮化硅材料的所述初始层利用由含硅沉积前驱物形成的第一沉积等离子体来沉积,并利用由包含氦气和氮气的第一处理气体混合物形成的第一处理等离子体来处理,且其中:
所述第一处理气体具有氦气对氮气的流率比大于处理气体混合物在沉积和处理氮化硅材料的先前和后续周期中的氦气对氮气的所述流率比;以及
所述第一处理等离子体利用设置为200瓦或更低的第一功率水平的等离子体功率源来形成。
17.如权利要求13所述的处理方法,其中所述一种或多种沉积前驱物包括所述含硅前驱物和氨。
18.一种处理方法,包括以下步骤:
利用第一沉积等离子体在半导体基板上沉积氮化硅材料的第一部分;
利用由包含氦气和氮气的第一处理气体混合物所形成的第一处理等离子体来处理所述氮化硅材料的所述第一部分,其中所述第一处理等离子体基本上不减少所述第一处理等离子体中的氢量;
在所述氮化硅材料的经处理的所述第一部分上沉积氮化硅材料的第二部分,其中所述氮化硅材料的所述第二部分利用第二沉积等离子体来沉积;
利用由包含氦气和氮气的第二处理气体混合物所形成的第二处理等离子体来处理所述氮化硅材料的所述第二部分,其中所述第二处理等离子体减少刚沉积的所述氮化硅材料的所述第二部分中的氢量。
19.如权利要求18所述的处理方法,其中所述第一处理等离子体利用设置为200瓦或更低的第一功率水平的等离子体功率源来形成,且所述第二处理等离子体利用设置为500瓦或更高的第二功率水平的所述等离子体功率源来形成。
20.如权利要求19所述的处理方法,其中所述第一处理气体混合物具有氦气对氮气的第一流率比,所述第一流率比大于所述第二处理气体混合物的氦气对氮气的第二流率比。
CN202180064959.XA 2020-07-22 2021-07-16 氮化硅膜的多层沉积和处理 Pending CN116324021A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US16/935,423 US11276570B2 (en) 2020-07-22 2020-07-22 Multi-layer deposition and treatment of silicon nitride films
US16/935,423 2020-07-22
PCT/US2021/041950 WO2022020195A1 (en) 2020-07-22 2021-07-16 Multi-layer deposition and treatment of silicon nitride films

Publications (1)

Publication Number Publication Date
CN116324021A true CN116324021A (zh) 2023-06-23

Family

ID=79688604

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202180064959.XA Pending CN116324021A (zh) 2020-07-22 2021-07-16 氮化硅膜的多层沉积和处理

Country Status (6)

Country Link
US (1) US11276570B2 (zh)
JP (1) JP2023536422A (zh)
KR (1) KR20230043909A (zh)
CN (1) CN116324021A (zh)
TW (1) TWI773446B (zh)
WO (1) WO2022020195A1 (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN114784217B (zh) * 2022-05-26 2023-06-27 重庆惠科金渝光电科技有限公司 封装层的制作方法及有机发光二极管显示面板
CN115161609B (zh) * 2022-07-25 2023-09-12 北京北方华创微电子装备有限公司 半导体工艺设备和磁控溅射工艺
US20240038527A1 (en) * 2022-07-26 2024-02-01 Applied Materials, Inc. Forming films with improved film quality

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7732342B2 (en) * 2005-05-26 2010-06-08 Applied Materials, Inc. Method to increase the compressive stress of PECVD silicon nitride films
US8138104B2 (en) * 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US8247332B2 (en) * 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8728955B2 (en) * 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
JP6538300B2 (ja) * 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8981466B2 (en) * 2013-03-11 2015-03-17 International Business Machines Corporation Multilayer dielectric structures for semiconductor nano-devices
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10319583B2 (en) * 2016-03-13 2019-06-11 Applied Materials, Inc. Selective deposition of silicon nitride films for spacer applications
CN108778739B (zh) * 2016-03-13 2021-07-16 应用材料公司 用于选择性干式蚀刻的方法及设备
US10062563B2 (en) * 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
JP6789257B2 (ja) * 2018-02-28 2020-11-25 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US11217443B2 (en) * 2018-11-30 2022-01-04 Applied Materials, Inc. Sequential deposition and high frequency plasma treatment of deposited film on patterned and un-patterned substrates

Also Published As

Publication number Publication date
JP2023536422A (ja) 2023-08-25
KR20230043909A (ko) 2023-03-31
TWI773446B (zh) 2022-08-01
TW202219304A (zh) 2022-05-16
US20220028680A1 (en) 2022-01-27
WO2022020195A1 (en) 2022-01-27
US11276570B2 (en) 2022-03-15

Similar Documents

Publication Publication Date Title
CN112242295A (zh) 形成拓扑受控的无定形碳聚合物膜的方法
CN116324021A (zh) 氮化硅膜的多层沉积和处理
TWI512136B (zh) 伴隨持續的電漿之pecvd多重步驟處理方法
CN110476239B (zh) 使用反应性退火的间隙填充
US9748093B2 (en) Pulsed nitride encapsulation
CN110476222B (zh) 用于硅间隙填充的两步工艺
TWI766789B (zh) 用於均勻且共形之混成氧化鈦薄膜的沉積方法
KR20120025543A (ko) 성막 방법, 전 처리 장치 및 처리 시스템
JP2004039990A (ja) 被処理体の酸化方法
US11107674B2 (en) Methods for depositing silicon nitride
JP6946463B2 (ja) ワードライン抵抗を低下させる方法
WO2019103819A1 (en) Dry etch rate reduction of silicon nitride films
US6221793B1 (en) Process for forming PECVD undoped oxide with a super low deposition rate on a single state deposition
TWI801917B (zh) 沉積低k介電膜的系統及方法
US11538677B2 (en) Systems and methods for depositing high density and high tensile stress films
TW201903834A (zh) 自對準觸點與閘極處理流程
TWI792005B (zh) 可流動cvd薄膜之表面粗糙度
US20240120193A1 (en) Carbon replenishment of silicon-containing material
US20240071817A1 (en) Adhesion improvement between low-k materials and cap layers
US20230416909A1 (en) Method for formation of conformal ald sio2 films
WO2022158331A1 (ja) シリコン含有膜の形成方法及び処理装置
TW202409327A (zh) 用於沉積低k介電質膜的系統以及方法
WO2024044462A1 (en) Systems and methods for depositing low-κ dielectric films
TW202333223A (zh) 用於氧化鎢移除之氟化鎢浸泡及處理
WO2024054977A1 (en) Fluorine-doped silicon-containing materials

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination