WO2020093013A1 - Method for generating high quality plasma for enhanced atomic layer deposition - Google Patents

Method for generating high quality plasma for enhanced atomic layer deposition Download PDF

Info

Publication number
WO2020093013A1
WO2020093013A1 PCT/US2019/059544 US2019059544W WO2020093013A1 WO 2020093013 A1 WO2020093013 A1 WO 2020093013A1 US 2019059544 W US2019059544 W US 2019059544W WO 2020093013 A1 WO2020093013 A1 WO 2020093013A1
Authority
WO
WIPO (PCT)
Prior art keywords
substrate
plasma
precursor
process volume
power
Prior art date
Application number
PCT/US2019/059544
Other languages
French (fr)
Inventor
Adrien Lavoie
Roger Patrick
Bart Van Schravendijk
Jon Henri
Original Assignee
Lam Research Corporation
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corporation filed Critical Lam Research Corporation
Publication of WO2020093013A1 publication Critical patent/WO2020093013A1/en

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils

Definitions

  • Implementations of the present disclosure relate to plasma enhanced atomic layer deposition (PEALD), and more specifically to using inductively coupled plasma (ICP) to enhance atomic layer deposition (ALD).
  • PEALD plasma enhanced atomic layer deposition
  • ICP inductively coupled plasma
  • Implementations of the present disclosure include methods and systems for performing plasma enhanced atomic layer deposition (PEALD), and in some implementations, more specifically to using inductively coupled plasma (ICP) to enhance atomic layer deposition (ALD).
  • PEALD plasma enhanced atomic layer deposition
  • ICP inductively coupled plasma
  • Some implementations for performing PEALD relate to the deposition of silicon dioxide and silicon nitride using ICP under a substantially zero applied bias condition, achieving improved film quality over previous methods.
  • a method for performing plasma enhanced atomic layer deposition (PEALD) on a substrate including the following method operations: flowing a precursor into a process volume over a surface of a substrate, the precursor being configured to adsorb onto the surface of the substrate; performing a first purge of the process volume; flowing an oxidant gas into the process volume that is over the surface of the substrate; applying inductive RF power to the oxidant gas in the process volume to generate a plasma over the substrate, the applying inductive RF power being performed under a zero applied bias condition, the plasma being configured to convert the precursor that adsorbed onto the surface of the substrate into a small amount of film; performing a second purge of the process volume; repeating the operations of flowing the precursor, performing the first purge, flowing the oxidant gas, applying RF power, and performing the second purge, until a predefined thickness of the desired film is deposited onto the surface of the substrate.
  • PEALD plasma enhanced atomic layer deposition
  • the applying inductive RF power being performed under the zero applied bias condition enhances an isotropic effect of the plasma conversion of the adsorbed precursor on the surface of the substrate.
  • the surface of the substrate includes one or more features.
  • the features include one or more high aspect ratio features having a height to width ratio greater than 10 to 1.
  • the film product is silicon dioxide.
  • the precursor is DIPAS, SAM24, or BTBAS.
  • the applying inductive RF power provides for the film product having a wafer etch rate relative to thermal oxide in the range of about less than 2.
  • the applying inductive RF power provides for the film product having an increased density.
  • the applying inductive RF power provides for the film product having an enhanced stoichiometry.
  • the method is performed at a temperature in the range of about 300 to 750 Celsius.
  • the applying inductive power is performed for a duration in the range of about 0.5 to 3 seconds.
  • the applying inductive power is performed at a power in the range of about 50 to 1500 Watts.
  • the method is performed at a pressure in the range of about 100 to 500 mTorr.
  • a method for performing plasma enhanced atomic layer deposition (PEALD) on a substrate including the following method operations: flowing a silicon-containing precursor into a process volume over a surface of a substrate, the silicon-containing precursor being configured to adsorb onto the surface of the substrate; performing a first purge of the process volume; flowing an oxidant gas into the process volume that is over the surface of the substrate; inductively transferring power through a dielectric window into the oxidant gas in the process volume to generate a plasma over the substrate, the plasma being configured to convert the silicon-containing precursor that adsorbed onto the surface of the substrate into a small amount of silicon dioxide film; performing a second purge of the process volume; repeating the operations of flowing the precursor, performing the first purge, flowing the oxidant gas, inductively transferring power, and performing the second purge, until a predefined thickness of the silicon dioxide film product is deposited onto the surface of the substrate.
  • PEALD plasma enhanced atomic layer deposition
  • the inductively transferring power is performed under a substantially zero applied bias condition.
  • the method is performed at a temperature in the range of about 300 to 750 Celsius.
  • the method is performed at a pressure in the range of about 100 to 500 mTorr.
  • a method for performing plasma enhanced atomic layer deposition (PEALD) on a substrate including the following method operations: flowing a silicon-containing precursor into a process volume over a surface of a substrate, the silicon-containing precursor being configured to adsorb onto the surface of the substrate; performing a first purge of the process volume; flowing nitrogen containing gas into the process volume that is over the surface of the substrate; inductively transferring power through a dielectric window into the nitrogen containing gas in the process volume to generate a plasma over the substrate, the plasma being configured to convert the silicon-containing precursor that adsorbed onto the surface of the substrate into a small amount of silicon nitride film; performing a second purge of the process volume; repeating the operations of flowing the precursor, performing the first purge, flowing the nitrogen containing gas, inductively transferring power, and performing the second purge, until a predefined thickness of the silicon nitride film is deposited onto the surface of the substrate.
  • PEALD plasma enhanced atomic layer deposition
  • the inductively transferring power is performed under a substantially zero applied bias condition.
  • the method is performed at a temperature in the range of about 300 to 750 Celsius.
  • the method is performed at a pressure in the range of about 100 to 500 mTorr.
  • Figure 1 is a graph illustrating deposition rate as a function of temperature for certain Si0 2 ALD precursors, in accordance with implementations of the disclosure.
  • Figure 2 is a chart illustrating the effects of temperature and RF energy on film quality as measured by WERR, in accordance with implementations of the disclosure.
  • Figure 3 is a graph illustrating results of Si0 2 deposition performed using ICP PEAFD and CCP PEAFD processes, in accordance with implementations of the disclosure.
  • Figure 4 illustrates a method for performing plasma enhanced atomic layer deposition, in accordance with implementations of the disclosure.
  • Figure 5 is a graph conceptually illustrating film density versus temperature for PEAFD processes performed using CCP and ICP, in accordance with implementations of the disclosure.
  • Figure 6 illustrates an example ICP deposition system, in accordance with implementations of the disclosure.
  • Figure 7 shows a control module for controlling the systems described above, in accordance with implementations of the disclosure.
  • PEALD plasma enhanced atomic layer deposition
  • ICP inductively coupled plasma
  • ICP capacitively coupled plasma
  • an ICP ALD film deposited at 200C might be equivalent to a CCP ALD film deposited at 400C.
  • the upper temperature limit of the deposition process depends upon the thermal decomposition temperature of the precursor. With ICP, there is more energy applied into the film (for example, applied on feature sidewalls) and this can be combined with high temperature (within the thermally stable range of the precursor) to enable higher quality film than possible with CCP ALD. This can enable the use of lower cost precursors in some instances. For example, a lower cost precursor that decomposes at a given temperature (e.g.
  • Table 1 below is a chart showing characteristics of various silicon dioxide precursor commonly used in the industry.
  • Three precursors commonly used in the industry include BTBAS, SAM24 and DIPAS. It will be appreciated that the chemical structures of such precursors essentially determines the sticking coefficient, steric hindrance, deposition rate, vapor pressure and operating temperature range.
  • the decomposition temperature of a given precursor sets the upper limit at which atomic layer deposition can be carried out using the given precursor, and that consequently further improvements to film quality using the given precursor cannot be achieved by increases in temperature.
  • BTBAS, SAM 24, and DIPAS are each capable of providing comparable levels of deposition rate, within-wafer nonuniformity, wafer-to-wafer nonuniformity (repeatability), defects, wafer etch rate ratio (WERR, wet etch rate relative to thermal oxide), and stress.
  • the precursor itself determines the operating temperature range and deposition rate (based on sticking coefficient, steric hindrance, vapor pressure).
  • the within- wafer nonuniformity, wafer- to-wafer nonuniformity, and defect performance are primarily hardware dependent in the operating temperature range of the precursor.
  • the film quality, as characterized by WERR, stress performance, and electrical properties, is primarily dependent on the conversion step of the precursor, including factors such as the RF power, RF time, and the oxidizer gas composition.
  • Some precursors such as SAM24 and DIPAS decompose at relatively low temperatures.
  • CVD thermal chemical vapor deposition
  • CVD tends to have inferior within wafer non-uniformity and suffers from loading effects - variation in film thickness that depend on pattern density.
  • BTBAS has a higher thermal decomposition temperature, and thus allows a much higher temperature.
  • the resulting film By employing a higher temperature, the resulting film’s characteristics are improved. For example, WERR decreases and gets closer to thermal oxide, and electrical properties get better.
  • Two ways to improve film performance are (1) increase the energy of the entire system by, for example, running the deposition process at higher temperature, or (2) increase the amount of RF activation into the film.
  • ICP does the latter, increasing RF activation into the film during the conversion step and thereby enabling processing at lower temperatures while producing a better film.
  • good performance metrics e.g. WERR
  • WERR good performance metrics
  • Figure 1 is a graph illustrating deposition rate as a function of temperature for Si0 2 AFD precursors, in accordance with implementations of the disclosure. More specifically, the illustrated graph demonstrates the decomposition limits of SAM24, while also showing the stability of BTBAS at increased temperatures. The several curves show the deposition rates of SAM24 and BTBAS versus the pedestal temperature, which defines the temperature of the substrate.
  • the curves 100 and 102 illustrate the deposition rate using SAM24 as the precursor, under low and high RF conditions, respectively.
  • a low RF condition is generally defined as RF power in the range of about 200 to 2000W (divided over 4 stations), and a short RF time range of about 0.15 to 0.5 seconds.
  • a high RF condition is generally defined as RF power provided in the range of about 2000 to 6000W (divided over 4 stations), and a long RF time range of about 0.5 to 1.5 seconds.
  • the RF power is divided across multiple stations (e.g. four process stations) of a process tool, and thus the above-described RF power ranges can be divided, e.g. by four, for a single station process tool.
  • the curves 104 and 106 illustrate the deposition rate using BTBAS as the precursor, under low and high RF conditions, respectively.
  • the deposition rate of SAM24 at 400C actually increases because the heat of the substrate is causing thermal decomposition of the SAM24 flowing over it that results in a CVD-like mode wherein the precursor is falling out of the vapor phase onto the substrate surface and the film is therefore no longer growing as a function of the RF activation.
  • ALD deposition using SAM24 is governed at ALD temps below approximately 400C, due to the thermal decomposition of SAM24 as demonstrated by the illustrated results.
  • the BTBAS precursor exhibits stability at higher temperatures than SAM24. Also of note is that BTBAS exhibits higher growth rates at the same temperatures when compared to SAM24.
  • the growth rate is lower at high temperature because there are fewer Si-OH bonds on the surface for the precursor to attach to.
  • H is more easily desorbed from the film and the surface and this drives both the lower growth rate and the denser film.
  • H is incorporated Si-OH terminal bonds form and this lowers the density compared to a Si-O-Si network.
  • deposition is performed at increased temperatures which improves film quality, provided the precursor remains stable at such increased temperatures.
  • deposition is performed at temperatures up to approximately 750 C. In some implementations, deposition is performed at temperatures up to approximately 1000C.
  • the energy of the system includes the sum of the thermal and RF energies, which together help the resultant film to become more stoichiometric, denser, and a better quality.
  • the BTBAS precursor is capable of operating in an ALD regime at temperatures upwards of 550C. This can be leveraged to extend ALD processing beyond the temperature ranges of other precursors such as SAM24 and DIP AS.
  • Figure 2 is a chart illustrating the effects of temperature and RF energy on film quality as measured by WERR (wafer etch rate relative to thermal oxide), in accordance with implementations of the disclosure. Shown are the results of Si0 2 deposited by PEALD at various temperatures and at high and low RF energies.
  • Figure 3 is a graph illustrating results of Si0 2 deposition performed using ICP PEALD and CCP PEALD processes, in accordance with implementations of the disclosure. Plots of results obtained from films deposited at varying RF powers (e.g. 200 to 6000W), RF times (as shown, in seconds), and temperatures (e.g. 50 to 550C) are shown. More specifically in the illustrated graph, WERR (relative to thermal oxide) results along feature bottom sidewalls versus RF times are shown.
  • RF powers e.g. 200 to 6000W
  • RF times as shown, in seconds
  • temperatures e.g. 50 to 550C
  • direct ICP chambers have not be viewed as suitable for ALD processes, where fast switching of gases is desired to improve throughput, as ALD generally provides good conformal coverage but low atomic scale growth rates.
  • utilizing a direct ICP chamber for PEALD provides unexpected improvements to film quality that extend beyond what would be expected based on previous results achieved using CCP or ICP in a remote plasma setup.
  • the ICP hardware in accordance with implementations of the disclosure is configured to generate the plasma in situ, through the inductive coupling of RF power into the process chamber to generate the plasma directly over the substrate/wafer.
  • a“direct” plasma in this case, direct ICP
  • methods in accordance with implementations of the disclosure provide for a“direct” plasma (in this case, direct ICP), as opposed to previous PEALD techniques employing a remote CCP/ICP plasma source.
  • the ICP process of the present disclosure which generates plasma directly in situ is able to generate a higher density plasma (compared to that of a CCP process) proximate to the wafer, without simultaneously affecting bias and directionality of the plasma.
  • This is significant for in existing CCP processes, increasing plasma density entails increasing the RF power, which also increases the bias. While this increased plasma density can often improve film quality, there’s an upper limit to the useable density.
  • the plasma voltages are high enough, sputtering of the film occurs which degrades conformality or other film damage that degrades film quality.
  • the plasma density and bias are decoupled from each other and capable of being independently controlled.
  • a high density plasma with zero applied bias (or low or minimal bias) is possible, and it is believed that this provides higher energy into the film that more evenly distributes the energy flux within features.
  • the plasma density tends to trend with the Watts of power applied divided by the surface area over which it is applied.
  • the non-ionic species including e.g. radicals (e.g. single/triple state oxygen), metastables, and neutrals— are delivered within features while ionic bombardment is minimized.
  • a key technology benefit is the delivery of more radicals than a lower density source.
  • the result is that substantially even conversion (oxidation) of precursor to oxide occurs throughout a given feature, so that regions that have heretofore exhibited poor film quality with prior PEALD processes, such as bottom sidewall regions of high aspect ratio features, now exhibit high film quality matching that of other regions of the substrate surface (e.g. feature bottoms and field regions).
  • oxide deposition there is more H elimination and complete oxidation and consequently a denser film throughout all surfaces of the feature.
  • implementations of the present disclosure enable deposition of a highly conformal and consistently high quality film throughout the surface structure of a substrate, including within high aspect ratio features where such has been challenging to achieve.
  • the plasma density provided at the substrate surface is in the range of approximately 5 x 10 10 to 5 x 1012 ions/cm 3.
  • the percentage dissociation to radicals is approximately 10-16% of the neutral gas density of about 2.5 x 10 14 atoms/cm to 2.5 x 10 atoms/cm .
  • the ICP source is a planar source, and generates plasma directly over the planar surface of the substrate.
  • ICP enhanced deposition under a zero applied bias condition is described, it will be appreciated that such does not necessarily mean that absolutely zero bias exists during operation. For there may be some de minimus bias that may occur during chamber operation. However, for purposes of the present disclosure, a zero bias condition (or substantially zero bias condition) means that no bias is purposefully applied in the system. As briefly mentioned above an ICP source can produce a self bias depending on plasma conditions that is measurable but typically lower than about 20-30 V.
  • fabrication of PCRAM/phase-change memory may be governed at temperatures not exceeding about 200C because chalcogenides may react with conversion chemistry, diffuse or otherwise change above such temperatures.
  • FEOF logic front end of line
  • BEOF processing for all copper metallization may be limited to about 400C.
  • temperatures may be limited to less than 600 C, especially for interlayer dielectric (IFD) processing steps that occur later in the manufacturing flow.
  • IFD interlayer dielectric
  • MRAM fabrication is typically limited to about 200-300 C.
  • the upper temperature limit may be in the range of about 650 to 700C in some implementations and some stages of the manufacturing flow.
  • the PEALD processes of the present disclosure are performed at a temperature in the range of approximately 50 to 750 C; in some implementations, in the range of approximately -50 C to 900 C.
  • inductive power is applied for a duration in the range of about 0.1 to tens of seconds; in some implementations, in the range of about 0.2 to 5 seconds.
  • inductive power is provided at a power in the range of about 50 to 12000W for a 300 mm wafer; in some implementations the power is in the range of about 1000 to 10000W. In some implementations the power is approximately 6000 W. In some implementations the power is divided over four process stations.
  • the chamber pressure is in the range of about 0.005 to 2 Torr; in some implementations the chamber pressure is in the range of about 0.05 to 1 Torr; in some implementations the chamber pressure is in the range of about 0.08 to 0.8 Torr; in some implementations the chamber pressure is in the range of about 0.1 to 0.5 Torr.
  • radicals reach the wafer surface (in particular the surfaces in high AR features) and are responsible for lowering the film WERR under such pressure conditions.
  • the low pressure employed for deposition will increase the mean free path between radicals, which results in reduced radical quenching (or recombination).
  • the radical partial pressure is higher relative to ion partial pressure.
  • radical incidence is isotropic whereas ion incidence is anisotropic, then the increased availability of radicals enables improved activation on sidewall regions. This is an unexpected result, as generally it is expected that higher pressures increase the availability and penetration of active species into surface features.
  • chamber pressure can be optimized so as to be high enough to provide sufficient concentrations of available species for deposition/activation, while being low enough to prevent excessive radical quenching.
  • the improved film quality afforded by ICP PEALD processing in accordance with implementations of the disclosure provides significant advantages for device fabrication in scenarios such as those enumerated above. Improved film quality can be achieved at a given temperature, providing better device performance (e.g. electrical, stress, lifetime/durability) and yield. Comparatively inexpensive precursors having lower thermal decomposition limits can be extended to generate higher quality films that were previously inaccessible. Equivalent film quality to existing CCP processes can be achieved at lower temperatures and/or lower RF times, thereby satisfying tighter thermal budgets and/or increasing throughput.
  • Non-limiting examples include any of various chlorosilanes, iodosilanes, halogenated silanes, amino silanes, and other silicon- containing molecules such as the following: SiCl 4 , SiH 2 Cl 2 , Si 2 Cl 6 , Si 3 Cl 8 , SiH 3 Cl, SiH(N(CH 3 ) 2 ) 3 (3DMAS, Tris(dimethylamino)silane), SiH 2( NH L Bu) 2 (BTBAS, Bis(tertiary- butyl-amino)silane), C H 2 N 3 Si 3 (DTDN-2H2), C 6 H l7 NSi (DIPAS, Di(isopropylamino)silane), C H 2 N 3 Si (TIPAS, Tris(isopropyla
  • any of the presently disclosed silicon-containing precursors can be utilized in a PEALD process to conformally deposit a SiN film.
  • the conversion step is a nitridation step that entails flowing a nitrogen-containing gas (e.g. N 2 , N 2 /H 2 mixture, NH 3 ) over the substrate surface and inductively generating a nitrogen plasma from the nitrogen- containing gas.
  • a nitrogen-containing gas e.g. N 2 , N 2 /H 2 mixture, NH 3
  • any of the applicable process parameters described herein including without limitation parameters such as temperature, RF power, duration of RF power, etc. can be applied for deposition of a SiN film by a PEAFD technique in accordance with implementations of the disclosure.
  • Figure 4 illustrates a method for performing plasma enhanced atomic layer deposition, in accordance with implementations of the disclosure.
  • a substrate is received into a process chamber for performing the PEAFD.
  • initial preparatory operations are performed, such as purging the process chamber with an inert gas, heating the substrate and/or the chamber to a predefined temperature, establishing a predefined pressure in the chamber, etc.
  • a precursor is flowed into the process chamber and over the substrate surface. The precursor adsorbs onto the substrate surface.
  • a purge operation e.g. using an inert gas, is performed to remove excess precursor and/or byproducts from the chamber.
  • an oxidant (conversion gas) is flowed into the process chamber and over the substrate surface.
  • RF power is applied to an RF antenna (e.g. a coil) that inductively couples the RF power into the chamber, and more specifically into the oxidant, forming a plasma from the oxidant species.
  • the plasma reacts with the adsorbed precursor, converting the adsorbed precursor to a film product.
  • another purge operation is performed, e.g. using an inert gas, to remove byproducts and other species from the process chamber.
  • method operation 412 it is determined whether a desired thickness of the film product has been achieved, e.g. by determining whether a predefined number of cycles of the PEAFD process has been performed. If not, then the method returns to method operation 402 to performed the PEAFD cycle again. If so, then the method ends at operation 414.
  • FIG. 5 is a graph conceptually illustrating film density versus temperature for PEAFD processes performed using CCP and ICP, in accordance with implementations of the disclosure. Curves showing film density as a function of temperature for PEALD films deposited using CCP and ICP are shown. The improvement in film quality and advantages provided by ICP over CCP processing are thus illustrated by these curves.
  • a film deposited using a CCP PEALD process provides a film having a density Di.
  • a film deposited using an ICP PEALD process (utilizing the same precursor) has a density D 2 that is greater than Di.
  • an ICP process can provide improved film density at a given temperature.
  • the precursor can be processed using a CCP process at a significantly higher temperature T 2 in order to achieve the film density D 2 .
  • the ICP process is capable of delivering a film having equivalent density but at significantly reduced temperature (TO, thereby reducing device thermal exposure.
  • the temperature T 2 may exceed the thermal budget requirements of a given device to be fabricated, and thus, the precursor would seem to be unusable for fabrication of such a device using a CCP process when the level of quality (density D 2 ) is also required.
  • the requisite level of film density can be achieved with the same precursor but at significantly reduced temperature so as to meet the thermal budget requirements.
  • the temperature T 2 may be the approximate thermal breakdown temperature of the precursor.
  • further improvements in film density cannot be achieved by further increases in process temperature.
  • a higher film density D 3 can be achieved with the same precursor.
  • using ICP extends the film quality regime of the precursor beyond what was previously possible at the precursor’ s thermal breakdown temperature limit.
  • an example ICP deposition system or apparatus may include a chamber 1601 having a gas injector/showerhead/nozzle 1603 for distributing gases (1605, 1607, 1609) (e.g. precursor, oxidant, and purge gases) or other chemistries into the chamber 1601, chamber walls 1611, a chuck 1613 for holding a substrate or wafer 1615 to be processed which may include electrostatic electrodes for chucking and dechucking a wafer.
  • the chuck 1613 is heated for thermal control, enabling heating of the substrate 1615 to a desired temperature.
  • the chuck 1613 may be electrically charged using an RF power supply 1617 to provide a bias voltage in accordance with implementations of the disclosure.
  • An RF power supply 1619 is configured to supply power to an RF antenna/coil 1621, disposed over a dielectric window 1623 to generate a plasma 1625 in the process space over the substrate 1615.
  • the chamber walls are heated to support thermal management and efficiency.
  • a vacuum source 1627 provides a vacuum to evacuate gases from the chamber 1601.
  • the system or apparatus may include a system controller 1629 for controlling some or all of the operations of the chamber or apparatus such as modulating the chamber pressure, inert gas flow, plasma power, plasma frequency, reactive gas flow (e.g., precursor, oxidant, etc.); bias power, temperature, vacuum settings; and other process conditions.
  • a system/apparatus may include more than one chamber for processing substrates.
  • ALD systems typically employ small volume chambers that can be rapidly filled and purged.
  • ICP reactors tend to have significantly higher volume.
  • One technique is to continuously purge the non-process volume space, so that only the process volume space that is directly over the wafer/substrate needs to be effectively purged during the purge operations of the ALD cycle.
  • the process volume can be separated from the non-process volume space by an air curtain.
  • fast gas exchanges can be employed, modulating gas flows and pressures to speed delivery and removal of gases from the process volume.
  • FIG. 7 shows a control module 1700 for controlling the systems described above, in accordance with implementations of the disclosure.
  • the control module 1700 may include a processor, memory and one or more interfaces.
  • the control module 1700 may be employed to control devices in the system based in part on sensed values.
  • the control module 1700 may control one or more of valves 1702, filter heaters 1704, pumps 1706, and other devices 1708 based on the sensed values and other control parameters.
  • the control module 1700 receives the sensed values from, for example only, pressure manometers 1710, flow meters 1712, temperature sensors 1714, and/or other sensors 1716.
  • the control module 1700 may also be employed to control process conditions during reactant delivery and plasma processing.
  • the control module 1700 will typically include one or more memory devices and one or more processors.
  • the control module 1700 may control activities of the reactant delivery system and plasma processing apparatus.
  • the control module 1700 executes computer programs including sets of instructions for controlling process timing, delivery system temperature, pressure differentials across the filters, valve positions, mixture of gases, chamber pressure, chamber temperature, wafer temperature, RF power levels, wafer ESC or pedestal position, and other parameters of a particular process.
  • the control module 1700 may also monitor the pressure differential and automatically switch vapor reactant delivery from one or more paths to one or more other paths.
  • Other computer programs stored on memory devices associated with the control module 1700 may be employed in some implementations.
  • the user interface may include a display 1718 (e.g. a display screen and/or graphical software displays of the apparatus and/or process conditions), and user input devices 1720 such as pointing devices, keyboards, touch screens, microphones, etc.
  • a display 1718 e.g. a display screen and/or graphical software displays of the apparatus and/or process conditions
  • user input devices 1720 such as pointing devices, keyboards, touch screens, microphones, etc.
  • Computer programs for controlling delivery of reactant, plasma processing and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
  • control module parameters relate to process conditions such as, for example, filter pressure differentials, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the RF frequency, cooling gas pressure, and chamber wall temperature.
  • the system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive deposition processes. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, heater control code, and plasma control code.

Abstract

A method for performing plasma enhanced atomic layer deposition (PEALD) on a substrate includes: flowing a precursor into a process volume over a surface of a substrate, the precursor being configured to adsorb onto the surface of the substrate; performing a first purge of the process volume; flowing an oxidant gas into the process volume that is over the surface of the substrate; applying inductive RE power to the oxidant gas in the process volume to generate a plasma over the substrate, the applying inductive RE power being performed under a zero applied bias condition, the plasma being configured to convert the precursor that adsorbed onto the surface of the substrate into a film product; performing a second purge of the process volume; repeating the operations of the method until a predefined thickness of the film product is deposited onto the surface of the substrate.

Description

METHOD FOR GENERATING HIGH QUALITY PLASMA FOR
ENHANCED ATOMIC LAYER DEPOSITION
FIELD OF THE INVENTION
[0001] Implementations of the present disclosure relate to plasma enhanced atomic layer deposition (PEALD), and more specifically to using inductively coupled plasma (ICP) to enhance atomic layer deposition (ALD).
DESCRIPTION OF THE RELATED ART
[0002] In the context of semiconductor devices and fabrication, technological progress has been marked by continuing device miniaturization. This continues to present challenges from a fabrication standpoint as feature sizes shrink (< 20 nm in DRAM and logic) and aspect ratios increase (e.g. greater than 30 to one in 3D NAND structures), making conformal deposition in narrow high aspect ratio features that much more important. Plasma enhanced atomic layer deposition (PEALD) has emerged as a promising technology for achieving conformal deposition. Film quality can also be improved by carrying out ALD at increased temperatures. However, the use of increased temperature to enhance film quality is limited by the thermal budgets of devices and by the thermal breakdown temperatures of ALD precursors.
[0003] It is in this context that implementations of the disclosure arise.
SUMMARY
[0004] Implementations of the present disclosure include methods and systems for performing plasma enhanced atomic layer deposition (PEALD), and in some implementations, more specifically to using inductively coupled plasma (ICP) to enhance atomic layer deposition (ALD). Some implementations for performing PEALD relate to the deposition of silicon dioxide and silicon nitride using ICP under a substantially zero applied bias condition, achieving improved film quality over previous methods.
[0005] In some implementations, a method for performing plasma enhanced atomic layer deposition (PEALD) on a substrate is provided, including the following method operations: flowing a precursor into a process volume over a surface of a substrate, the precursor being configured to adsorb onto the surface of the substrate; performing a first purge of the process volume; flowing an oxidant gas into the process volume that is over the surface of the substrate; applying inductive RF power to the oxidant gas in the process volume to generate a plasma over the substrate, the applying inductive RF power being performed under a zero applied bias condition, the plasma being configured to convert the precursor that adsorbed onto the surface of the substrate into a small amount of film; performing a second purge of the process volume; repeating the operations of flowing the precursor, performing the first purge, flowing the oxidant gas, applying RF power, and performing the second purge, until a predefined thickness of the desired film is deposited onto the surface of the substrate.
[0006] In some implementations, the applying inductive RF power being performed under the zero applied bias condition enhances an isotropic effect of the plasma conversion of the adsorbed precursor on the surface of the substrate.
[0007] In some implementations, the surface of the substrate includes one or more features.
[0008] In some implementations, the features include one or more high aspect ratio features having a height to width ratio greater than 10 to 1.
[0009] In some implementations, the film product is silicon dioxide.
[0010] In some implementations, the precursor is DIPAS, SAM24, or BTBAS.
[0011] In some implementations, the applying inductive RF power provides for the film product having a wafer etch rate relative to thermal oxide in the range of about less than 2.
[0012] In some implementations, the applying inductive RF power provides for the film product having an increased density.
[0013] In some implementations, the applying inductive RF power provides for the film product having an enhanced stoichiometry.
[0014] In some implementations, the method is performed at a temperature in the range of about 300 to 750 Celsius.
[0015] In some implementations, the applying inductive power is performed for a duration in the range of about 0.5 to 3 seconds.
[0016] In some implementations, the applying inductive power is performed at a power in the range of about 50 to 1500 Watts.
[0017] In some implementations, the method is performed at a pressure in the range of about 100 to 500 mTorr.
[0018] In some implementations, a method for performing plasma enhanced atomic layer deposition (PEALD) on a substrate is provided, including the following method operations: flowing a silicon-containing precursor into a process volume over a surface of a substrate, the silicon-containing precursor being configured to adsorb onto the surface of the substrate; performing a first purge of the process volume; flowing an oxidant gas into the process volume that is over the surface of the substrate; inductively transferring power through a dielectric window into the oxidant gas in the process volume to generate a plasma over the substrate, the plasma being configured to convert the silicon-containing precursor that adsorbed onto the surface of the substrate into a small amount of silicon dioxide film; performing a second purge of the process volume; repeating the operations of flowing the precursor, performing the first purge, flowing the oxidant gas, inductively transferring power, and performing the second purge, until a predefined thickness of the silicon dioxide film product is deposited onto the surface of the substrate.
[0019] In some implementations, the inductively transferring power is performed under a substantially zero applied bias condition.
[0020] In some implementations, the method is performed at a temperature in the range of about 300 to 750 Celsius.
[0021] In some implementations, the method is performed at a pressure in the range of about 100 to 500 mTorr.
[0022] In some implementations, a method for performing plasma enhanced atomic layer deposition (PEALD) on a substrate is provided, including the following method operations: flowing a silicon-containing precursor into a process volume over a surface of a substrate, the silicon-containing precursor being configured to adsorb onto the surface of the substrate; performing a first purge of the process volume; flowing nitrogen containing gas into the process volume that is over the surface of the substrate; inductively transferring power through a dielectric window into the nitrogen containing gas in the process volume to generate a plasma over the substrate, the plasma being configured to convert the silicon-containing precursor that adsorbed onto the surface of the substrate into a small amount of silicon nitride film; performing a second purge of the process volume; repeating the operations of flowing the precursor, performing the first purge, flowing the nitrogen containing gas, inductively transferring power, and performing the second purge, until a predefined thickness of the silicon nitride film is deposited onto the surface of the substrate.
[0023] In some implementations, the inductively transferring power is performed under a substantially zero applied bias condition.
[0024] In some implementations, the method is performed at a temperature in the range of about 300 to 750 Celsius.
[0025] In some implementations, the method is performed at a pressure in the range of about 100 to 500 mTorr.
[0026] It will be appreciated that the foregoing represents a summary of certain non-limiting implementations of the disclosure. Additional implementations will be apparent to those skilled in the art in accordance with the scope of the present disclosure.
BRIEF DESCRIPTION OF THE DRAWINGS
[0027] Figure 1 is a graph illustrating deposition rate as a function of temperature for certain Si02 ALD precursors, in accordance with implementations of the disclosure.
[0028] Figure 2 is a chart illustrating the effects of temperature and RF energy on film quality as measured by WERR, in accordance with implementations of the disclosure.
[0029] Figure 3 is a graph illustrating results of Si02 deposition performed using ICP PEAFD and CCP PEAFD processes, in accordance with implementations of the disclosure.
[0030] Figure 4 illustrates a method for performing plasma enhanced atomic layer deposition, in accordance with implementations of the disclosure.
[0031] Figure 5 is a graph conceptually illustrating film density versus temperature for PEAFD processes performed using CCP and ICP, in accordance with implementations of the disclosure.
[0032] Figure 6 illustrates an example ICP deposition system, in accordance with implementations of the disclosure. [0033] Figure 7 shows a control module for controlling the systems described above, in accordance with implementations of the disclosure.
DETAILED DESCRIPTION
[0034] In the following description, numerous specific details are set forth to provide a thorough understanding of the presented implementations. The disclosed implementations may be practiced without some or all of these specific details. In other instances, well-known process operations have not been described in detail to not unnecessarily obscure the disclosed implementations. While the disclosed implementations will be described in conjunction with the specific implementations, it will be understood that it is not intended to limit the disclosed implementations .
[0035] Provided herein are methods and systems for performing plasma enhanced atomic layer deposition (PEALD) that are capable of providing higher film quality than previously achievable at comparable temperatures and process times. Broadly speaking, PEALD is performed using an inductively coupled plasma (ICP) that is locally generated in situ, providing enhanced energy to the deposition process resulting in improvements in film quality. The improved film quality enables access to high quality films at lower temperatures and shorter process times than previously possible. Also, this extends the viability of certain precursors that might otherwise be limited by their thermal breakdown temperature limits, as film quality can be improved without increasing temperature.
[0036] Use of ICP for ALD enables better film at lower temperature, for example, compared to capacitively coupled plasma (CCP). For example, an ICP ALD film deposited at 200C might be equivalent to a CCP ALD film deposited at 400C. The upper temperature limit of the deposition process depends upon the thermal decomposition temperature of the precursor. With ICP, there is more energy applied into the film (for example, applied on feature sidewalls) and this can be combined with high temperature (within the thermally stable range of the precursor) to enable higher quality film than possible with CCP ALD. This can enable the use of lower cost precursors in some instances. For example, a lower cost precursor that decomposes at a given temperature (e.g. lower than the temperature at which a higher cost precursor decomposes) might not be useable with CCP due to film quality being insufficient even at the precursor’s thermal maximum. However, the lower cost precursor might become useable with ICP due to having additional energy applied by the ICP that improves film quality to an acceptable level.
[0037] Table 1 below is a chart showing characteristics of various silicon dioxide precursor commonly used in the industry.
Table 1
Figure imgf000008_0001
[0038] Three precursors commonly used in the industry include BTBAS, SAM24 and DIPAS. It will be appreciated that the chemical structures of such precursors essentially determines the sticking coefficient, steric hindrance, deposition rate, vapor pressure and operating temperature range. In particular it is noted that the decomposition temperature of a given precursor sets the upper limit at which atomic layer deposition can be carried out using the given precursor, and that consequently further improvements to film quality using the given precursor cannot be achieved by increases in temperature.
[0039] Within their stable operating temperature ranges, BTBAS, SAM 24, and DIPAS are each capable of providing comparable levels of deposition rate, within-wafer nonuniformity, wafer-to-wafer nonuniformity (repeatability), defects, wafer etch rate ratio (WERR, wet etch rate relative to thermal oxide), and stress. Broadly speaking, the precursor itself determines the operating temperature range and deposition rate (based on sticking coefficient, steric hindrance, vapor pressure). Whereas the within- wafer nonuniformity, wafer- to-wafer nonuniformity, and defect performance are primarily hardware dependent in the operating temperature range of the precursor. The film quality, as characterized by WERR, stress performance, and electrical properties, is primarily dependent on the conversion step of the precursor, including factors such as the RF power, RF time, and the oxidizer gas composition.
[0040] Some precursors such as SAM24 and DIPAS decompose at relatively low temperatures. For such precursors, there is a risk of decomposition from simply flowing the precursor over something that is hot, which can result in a thermal chemical vapor deposition (CVD) regime. This is highly undesirable because then it is no longer possible to achieve the conformality that is sought from an AFD process. Also, CVD tends to have inferior within wafer non-uniformity and suffers from loading effects - variation in film thickness that depend on pattern density.
[0041] However, BTBAS has a higher thermal decomposition temperature, and thus allows a much higher temperature. By employing a higher temperature, the resulting film’s characteristics are improved. For example, WERR decreases and gets closer to thermal oxide, and electrical properties get better.
[0042] Two ways to improve film performance are (1) increase the energy of the entire system by, for example, running the deposition process at higher temperature, or (2) increase the amount of RF activation into the film. ICP does the latter, increasing RF activation into the film during the conversion step and thereby enabling processing at lower temperatures while producing a better film. In some instances, it is possible to achieve good performance metrics (e.g. WERR) on blanket wafers. However, this does not translate to high quality films on patterned substrates due to the presence of high aspect ratio features and other topologies that are difficult to conformally coat. However, ICP is capable of providing increased activation deep in a feature and along sidewalls.
[0043] Figure 1 is a graph illustrating deposition rate as a function of temperature for Si02 AFD precursors, in accordance with implementations of the disclosure. More specifically, the illustrated graph demonstrates the decomposition limits of SAM24, while also showing the stability of BTBAS at increased temperatures. The several curves show the deposition rates of SAM24 and BTBAS versus the pedestal temperature, which defines the temperature of the substrate.
[0044] The curves 100 and 102 illustrate the deposition rate using SAM24 as the precursor, under low and high RF conditions, respectively. A low RF condition is generally defined as RF power in the range of about 200 to 2000W (divided over 4 stations), and a short RF time range of about 0.15 to 0.5 seconds. A high RF condition is generally defined as RF power provided in the range of about 2000 to 6000W (divided over 4 stations), and a long RF time range of about 0.5 to 1.5 seconds. In some implementations, the RF power is divided across multiple stations (e.g. four process stations) of a process tool, and thus the above-described RF power ranges can be divided, e.g. by four, for a single station process tool. The curves 104 and 106 illustrate the deposition rate using BTBAS as the precursor, under low and high RF conditions, respectively.
[0045] As shown, the deposition rate of SAM24 at 400C actually increases because the heat of the substrate is causing thermal decomposition of the SAM24 flowing over it that results in a CVD-like mode wherein the precursor is falling out of the vapor phase onto the substrate surface and the film is therefore no longer growing as a function of the RF activation.
[0046] Thus, ALD deposition using SAM24 is governed at ALD temps below approximately 400C, due to the thermal decomposition of SAM24 as demonstrated by the illustrated results.
[0047] However, the BTBAS precursor exhibits stability at higher temperatures than SAM24. Also of note is that BTBAS exhibits higher growth rates at the same temperatures when compared to SAM24.
[0048] Without being bound by any particular theory of operation, it is posited that the growth rate is lower at high temperature because there are fewer Si-OH bonds on the surface for the precursor to attach to. At higher temperature H is more easily desorbed from the film and the surface and this drives both the lower growth rate and the denser film. When H is incorporated Si-OH terminal bonds form and this lowers the density compared to a Si-O-Si network.
[0049] In some implementations, deposition is performed at increased temperatures which improves film quality, provided the precursor remains stable at such increased temperatures. By way of example without limitation, in some implementations, deposition is performed at temperatures up to approximately 750 C. In some implementations, deposition is performed at temperatures up to approximately 1000C.
[0050] The energy of the system includes the sum of the thermal and RF energies, which together help the resultant film to become more stoichiometric, denser, and a better quality.
[0051] As demonstrated by the illustrated results, the BTBAS precursor is capable of operating in an ALD regime at temperatures upwards of 550C. This can be leveraged to extend ALD processing beyond the temperature ranges of other precursors such as SAM24 and DIP AS.
[0052] Figure 2 is a chart illustrating the effects of temperature and RF energy on film quality as measured by WERR (wafer etch rate relative to thermal oxide), in accordance with implementations of the disclosure. Shown are the results of Si02 deposited by PEALD at various temperatures and at high and low RF energies.
[0053] For example, for PEALD carried out at 50C, with low RF energy, the resultant film exhibited a WERR of 14, but with high RF energy, the resultant film exhibited a WERR of 7.
[0054] Whereas for PEALD carried out at 550C, with low RF energy, the resultant film exhibited a WERR of about 2, but with high RF energy, the resultant film exhibited a WERR of about 1.5.
[0055] Figure 3 is a graph illustrating results of Si02 deposition performed using ICP PEALD and CCP PEALD processes, in accordance with implementations of the disclosure. Plots of results obtained from films deposited at varying RF powers (e.g. 200 to 6000W), RF times (as shown, in seconds), and temperatures (e.g. 50 to 550C) are shown. More specifically in the illustrated graph, WERR (relative to thermal oxide) results along feature bottom sidewalls versus RF times are shown.
[0056] Unexpectedly, there is a marked improvement in film quality resulting from PEALD performed using ICP over that performed using CCP. This is evident from the illustrated results, as the entire ICP WERR regime (indicated at ref. 300) is below that of the CCP results (indicated at ref. 302). That is, under similar process conditions of RF power, RF time, and temperature, films deposited using an ICP process exhibited lower WERR— and consequently better film quality— than films deposited using a CCP process.
[0057] It will be appreciated that the improved film quality produced by using a direct ICP system over that previously achieved using a CCP system is an unexpected result. Both ICP and CCP have been known in the art as suitable techniques/systems for generating plasma for various purposes. And both inductively coupled and capacitively coupled plasma generation have been employed for PEALD. However, while direct ICP chambers are widely used in gate-etch and metal-etch applications, they are not used for PEALD. Typically, in direct ICP chambers, the gap between the dielectric window and the substrate is fairly large in order to accommodate the plasma circulation and provide suitable plasma uniformity, e.g. for within-wafer uniformity of etch performance, and this results in larger chamber volume and longer residence time of gases in the chamber. Thus, direct ICP chambers have not be viewed as suitable for ALD processes, where fast switching of gases is desired to improve throughput, as ALD generally provides good conformal coverage but low atomic scale growth rates. However, it has been discovered that utilizing a direct ICP chamber for PEALD provides unexpected improvements to film quality that extend beyond what would be expected based on previous results achieved using CCP or ICP in a remote plasma setup.
[0058] The ICP hardware in accordance with implementations of the disclosure is configured to generate the plasma in situ, through the inductive coupling of RF power into the process chamber to generate the plasma directly over the substrate/wafer. Thus, methods in accordance with implementations of the disclosure provide for a“direct” plasma (in this case, direct ICP), as opposed to previous PEALD techniques employing a remote CCP/ICP plasma source.
[0059] Without being bound by theory, it is believed that the ICP process of the present disclosure which generates plasma directly in situ is able to generate a higher density plasma (compared to that of a CCP process) proximate to the wafer, without simultaneously affecting bias and directionality of the plasma. This is significant for in existing CCP processes, increasing plasma density entails increasing the RF power, which also increases the bias. While this increased plasma density can often improve film quality, there’s an upper limit to the useable density. When the plasma voltages are high enough, sputtering of the film occurs which degrades conformality or other film damage that degrades film quality.
[0060] However, with the ICP process of the present disclosure, the plasma density and bias are decoupled from each other and capable of being independently controlled. Thus, a high density plasma with zero applied bias (or low or minimal bias) is possible, and it is believed that this provides higher energy into the film that more evenly distributes the energy flux within features. Broadly speaking, for a given plasma source, it will be appreciated that the plasma density tends to trend with the Watts of power applied divided by the surface area over which it is applied. It is believed that the non-ionic species— including e.g. radicals (e.g. single/triple state oxygen), metastables, and neutrals— are delivered within features while ionic bombardment is minimized. Thus a key technology benefit is the delivery of more radicals than a lower density source. The result is that substantially even conversion (oxidation) of precursor to oxide occurs throughout a given feature, so that regions that have heretofore exhibited poor film quality with prior PEALD processes, such as bottom sidewall regions of high aspect ratio features, now exhibit high film quality matching that of other regions of the substrate surface (e.g. feature bottoms and field regions). In the case of oxide deposition, there is more H elimination and complete oxidation and consequently a denser film throughout all surfaces of the feature. Hence implementations of the present disclosure enable deposition of a highly conformal and consistently high quality film throughout the surface structure of a substrate, including within high aspect ratio features where such has been challenging to achieve.
[0061] In some implementations, the plasma density provided at the substrate surface is in the range of approximately 5 x 10 10 to 5 x 1012 ions/cm 3. In some implementations, the percentage dissociation to radicals is approximately 10-16% of the neutral gas density of about 2.5 x 1014 atoms/cm to 2.5 x 10 atoms/cm . In some implementations the ICP source is a planar source, and generates plasma directly over the planar surface of the substrate.
[0062] It is noted that while ICP enhanced deposition under a zero applied bias condition is described, it will be appreciated that such does not necessarily mean that absolutely zero bias exists during operation. For there may be some de minimus bias that may occur during chamber operation. However, for purposes of the present disclosure, a zero bias condition (or substantially zero bias condition) means that no bias is purposefully applied in the system. As briefly mentioned above an ICP source can produce a self bias depending on plasma conditions that is measurable but typically lower than about 20-30 V.
[0063] It is noted that the results demonstrate that film quality equivalent to that of a given CCP PEALD process can be achieved via an ICP PEALD process but at lower temperature, lower RF time, and/or lower RF power. The ability to achieve equivalent film quality with shorter RF time can be leveraged to improve throughput in high volume manufacturing operations.
[0064] With respect to temperature, it is realized that higher temperatures can be employed with CCP processes to improve film quality. However, it has been discovered that by using an ICP process, it is possible to obtain equivalent film quality at lower temperatures. This is advantageous for several reasons.
[0065] High temperatures can be unfeasible because if temperatures reach the thermal decomposition limit of the precursor, it is no longer possible to achieve a better film through further increases in temperature. So ICP gives access to film quality that was previously inaccessible for certain precursors that are otherwise limited by their thermal decomposition temperatures.
[0066] Additionally, exposure to high temperatures can be detrimental to device structures on the substrate. Many devices cannot be processed above a certain temperature and may have a thermal budget. Several non-limiting examples are provided below.
[0067] For example, fabrication of PCRAM/phase-change memory may be governed at temperatures not exceeding about 200C because chalcogenides may react with conversion chemistry, diffuse or otherwise change above such temperatures.
[0068] In logic front end of line (FEOF) processing, temperature limitations depend on device structure and considerations such as the percentage of Ge and whether it is exposed. Thus, deposition temperatures may be limited to as low as 400 C.
[0069] In back end of line (BEOF) module/logic processing, because of the risk of copper migration and diffusion, BEOF processing for all copper metallization may be limited to about 400C.
[0070] In DRAM fabrication, temperatures may be limited to less than 600 C, especially for interlayer dielectric (IFD) processing steps that occur later in the manufacturing flow.
[0071] MRAM fabrication is typically limited to about 200-300 C. [0072] In NAND fabrication, the upper temperature limit may be in the range of about 650 to 700C in some implementations and some stages of the manufacturing flow.
[0073] Other emerging memory technologies, such as ReRAM, are likely to have thermal budgets and temperature limits due to the sensitivity of the structures and/or material composition.
[0074] In some implementations, the PEALD processes of the present disclosure are performed at a temperature in the range of approximately 50 to 750 C; in some implementations, in the range of approximately -50 C to 900 C.
[0075] In some implementations, for each PEALD cycle, inductive power is applied for a duration in the range of about 0.1 to tens of seconds; in some implementations, in the range of about 0.2 to 5 seconds.
[0076] In some implementations, for each PEALD cycle, inductive power is provided at a power in the range of about 50 to 12000W for a 300 mm wafer; in some implementations the power is in the range of about 1000 to 10000W. In some implementations the power is approximately 6000 W. In some implementations the power is divided over four process stations.
[0077] Another aspect which can be controlled to provide for improved film quality in an ICP PEALD process is the chamber pressure. Broadly speaking, low pressures have been observed to provide improved sidewall WERR. By way of example without limitation, in some implementations, the chamber pressure is in the range of about 0.005 to 2 Torr; in some implementations the chamber pressure is in the range of about 0.05 to 1 Torr; in some implementations the chamber pressure is in the range of about 0.08 to 0.8 Torr; in some implementations the chamber pressure is in the range of about 0.1 to 0.5 Torr.
[0078] Without being bound by any particular theory of operation, it is believed that an increased amount of radicals reach the wafer surface (in particular the surfaces in high AR features) and are responsible for lowering the film WERR under such pressure conditions. The low pressure employed for deposition will increase the mean free path between radicals, which results in reduced radical quenching (or recombination). Thus, the radical partial pressure is higher relative to ion partial pressure. As radical incidence is isotropic whereas ion incidence is anisotropic, then the increased availability of radicals enables improved activation on sidewall regions. This is an unexpected result, as generally it is expected that higher pressures increase the availability and penetration of active species into surface features. However, it has been found that lower pressures provide improved sidewall film quality, which is believed to be due to increased radical cross section and increased proportion of radicals to ions. It will be appreciated that the chamber pressure can be optimized so as to be high enough to provide sufficient concentrations of available species for deposition/activation, while being low enough to prevent excessive radical quenching.
[0079] In view of the foregoing, it will be appreciated that the improved film quality afforded by ICP PEALD processing in accordance with implementations of the disclosure provides significant advantages for device fabrication in scenarios such as those enumerated above. Improved film quality can be achieved at a given temperature, providing better device performance (e.g. electrical, stress, lifetime/durability) and yield. Comparatively inexpensive precursors having lower thermal decomposition limits can be extended to generate higher quality films that were previously inaccessible. Equivalent film quality to existing CCP processes can be achieved at lower temperatures and/or lower RF times, thereby satisfying tighter thermal budgets and/or increasing throughput.
[0080] While specific silicon-containing precursors have been discussed, it will be appreciated that techniques in accordance with implementations of the present disclosure may apply to any other silicon-containing precursors that are suitable for PEALD. Non-limiting examples include any of various chlorosilanes, iodosilanes, halogenated silanes, amino silanes, and other silicon- containing molecules such as the following: SiCl4, SiH2Cl2, Si2Cl6, Si3Cl8, SiH3Cl, SiH(N(CH3)2)3 (3DMAS, Tris(dimethylamino)silane), SiH2(NHLBu)2 (BTBAS, Bis(tertiary- butyl-amino)silane), C H2 N3Si3 (DTDN-2H2), C6Hl7NSi (DIPAS, Di(isopropylamino)silane), C H2 N3Si (TIPAS, Tris(isopropylamino)silane), C8H22N2Si (BDEAS, Bis(diethylamino)silane), SiH4, (SiH3)3N, (SiH3)3N (TSA, Trisilylamine), (SiH3)4Si (NPS, Neopentasilane).
[0081] Additionally, while oxidation of silicon-containing precursors to form a silicon dioxide film is discussed herein, it will be appreciated that in other implementations techniques of the present disclosure can be applied to generate silicon nitride (SiN) films. In some implementations, any of the presently disclosed silicon-containing precursors can be utilized in a PEALD process to conformally deposit a SiN film. In such implementations, the conversion step is a nitridation step that entails flowing a nitrogen-containing gas (e.g. N2, N2/H2 mixture, NH3) over the substrate surface and inductively generating a nitrogen plasma from the nitrogen- containing gas. It will be appreciated that any of the applicable process parameters described herein, including without limitation parameters such as temperature, RF power, duration of RF power, etc. can be applied for deposition of a SiN film by a PEAFD technique in accordance with implementations of the disclosure.
[0082] Figure 4 illustrates a method for performing plasma enhanced atomic layer deposition, in accordance with implementations of the disclosure. At method operation 400, a substrate is received into a process chamber for performing the PEAFD. In some implementations, initial preparatory operations are performed, such as purging the process chamber with an inert gas, heating the substrate and/or the chamber to a predefined temperature, establishing a predefined pressure in the chamber, etc. At method operation 402 a precursor is flowed into the process chamber and over the substrate surface. The precursor adsorbs onto the substrate surface. At method operation 404, a purge operation, e.g. using an inert gas, is performed to remove excess precursor and/or byproducts from the chamber.
[0083] At method operation 406 an oxidant (conversion gas) is flowed into the process chamber and over the substrate surface. At method operation 408, RF power is applied to an RF antenna (e.g. a coil) that inductively couples the RF power into the chamber, and more specifically into the oxidant, forming a plasma from the oxidant species. The plasma reacts with the adsorbed precursor, converting the adsorbed precursor to a film product. At method operation 410, another purge operation is performed, e.g. using an inert gas, to remove byproducts and other species from the process chamber.
[0084] At method operation 412, it is determined whether a desired thickness of the film product has been achieved, e.g. by determining whether a predefined number of cycles of the PEAFD process has been performed. If not, then the method returns to method operation 402 to performed the PEAFD cycle again. If so, then the method ends at operation 414.
[0085] Figure 5 is a graph conceptually illustrating film density versus temperature for PEAFD processes performed using CCP and ICP, in accordance with implementations of the disclosure. Curves showing film density as a function of temperature for PEALD films deposited using CCP and ICP are shown. The improvement in film quality and advantages provided by ICP over CCP processing are thus illustrated by these curves.
[0086] For example, at a temperature Ti, a film deposited using a CCP PEALD process provides a film having a density Di. At the same temperature Ti, a film deposited using an ICP PEALD process (utilizing the same precursor) has a density D2 that is greater than Di. Thus, an ICP process can provide improved film density at a given temperature.
[0087] In some implementations, the precursor can be processed using a CCP process at a significantly higher temperature T2 in order to achieve the film density D2. However, in this scenario, the ICP process is capable of delivering a film having equivalent density but at significantly reduced temperature (TO, thereby reducing device thermal exposure. In some instances, the temperature T2 may exceed the thermal budget requirements of a given device to be fabricated, and thus, the precursor would seem to be unusable for fabrication of such a device using a CCP process when the level of quality (density D2) is also required. However, by switching to an ICP process, the requisite level of film density can be achieved with the same precursor but at significantly reduced temperature so as to meet the thermal budget requirements.
[0088] In some implementations, the temperature T2 may be the approximate thermal breakdown temperature of the precursor. Hence, further improvements in film density cannot be achieved by further increases in process temperature. However, by using an ICP PEALD process, a higher film density D3 can be achieved with the same precursor. Thus, using ICP extends the film quality regime of the precursor beyond what was previously possible at the precursor’ s thermal breakdown temperature limit.
[0089] Various implementations described herein may be performed in an inductively coupled plasma (ICP) system. With reference to Figure 6, an example ICP deposition system or apparatus may include a chamber 1601 having a gas injector/showerhead/nozzle 1603 for distributing gases (1605, 1607, 1609) (e.g. precursor, oxidant, and purge gases) or other chemistries into the chamber 1601, chamber walls 1611, a chuck 1613 for holding a substrate or wafer 1615 to be processed which may include electrostatic electrodes for chucking and dechucking a wafer. The chuck 1613 is heated for thermal control, enabling heating of the substrate 1615 to a desired temperature. In some implementations, the chuck 1613 may be electrically charged using an RF power supply 1617 to provide a bias voltage in accordance with implementations of the disclosure.
[0090] An RF power supply 1619 is configured to supply power to an RF antenna/coil 1621, disposed over a dielectric window 1623 to generate a plasma 1625 in the process space over the substrate 1615. In some implementations, the chamber walls are heated to support thermal management and efficiency. A vacuum source 1627 provides a vacuum to evacuate gases from the chamber 1601. The system or apparatus may include a system controller 1629 for controlling some or all of the operations of the chamber or apparatus such as modulating the chamber pressure, inert gas flow, plasma power, plasma frequency, reactive gas flow (e.g., precursor, oxidant, etc.); bias power, temperature, vacuum settings; and other process conditions.
[0091] In some implementations, a system/apparatus may include more than one chamber for processing substrates.
[0092] For purposes of throughput, ALD systems typically employ small volume chambers that can be rapidly filled and purged. However, ICP reactors tend to have significantly higher volume. Thus, there is the issue of how to enable fast switching of gases for ALD in a comparatively high volume system. One technique is to continuously purge the non-process volume space, so that only the process volume space that is directly over the wafer/substrate needs to be effectively purged during the purge operations of the ALD cycle. The process volume can be separated from the non-process volume space by an air curtain. Furthermore, fast gas exchanges can be employed, modulating gas flows and pressures to speed delivery and removal of gases from the process volume.
[0093] Figure 7 shows a control module 1700 for controlling the systems described above, in accordance with implementations of the disclosure. For instance, the control module 1700 may include a processor, memory and one or more interfaces. The control module 1700 may be employed to control devices in the system based in part on sensed values. For example, the control module 1700 may control one or more of valves 1702, filter heaters 1704, pumps 1706, and other devices 1708 based on the sensed values and other control parameters. The control module 1700 receives the sensed values from, for example only, pressure manometers 1710, flow meters 1712, temperature sensors 1714, and/or other sensors 1716. The control module 1700 may also be employed to control process conditions during reactant delivery and plasma processing. The control module 1700 will typically include one or more memory devices and one or more processors.
[0094] The control module 1700 may control activities of the reactant delivery system and plasma processing apparatus. The control module 1700 executes computer programs including sets of instructions for controlling process timing, delivery system temperature, pressure differentials across the filters, valve positions, mixture of gases, chamber pressure, chamber temperature, wafer temperature, RF power levels, wafer ESC or pedestal position, and other parameters of a particular process. The control module 1700 may also monitor the pressure differential and automatically switch vapor reactant delivery from one or more paths to one or more other paths. Other computer programs stored on memory devices associated with the control module 1700 may be employed in some implementations.
[0095] Typically there will be a user interface associated with the control module 1700. The user interface may include a display 1718 (e.g. a display screen and/or graphical software displays of the apparatus and/or process conditions), and user input devices 1720 such as pointing devices, keyboards, touch screens, microphones, etc.
[0096] Computer programs for controlling delivery of reactant, plasma processing and other processes in a process sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. Compiled object code or script is executed by the processor to perform the tasks identified in the program.
[0097] The control module parameters relate to process conditions such as, for example, filter pressure differentials, process gas composition and flow rates, temperature, pressure, plasma conditions such as RF power levels and the RF frequency, cooling gas pressure, and chamber wall temperature.
[0098] The system software may be designed or configured in many different ways. For example, various chamber component subroutines or control objects may be written to control operation of the chamber components necessary to carry out the inventive deposition processes. Examples of programs or sections of programs for this purpose include substrate positioning code, process gas control code, pressure control code, heater control code, and plasma control code.
[0099] Although the foregoing implementations have been described in some detail for purposes of clarity of understanding, it will be apparent that certain changes and modifications may be practiced within the scope of the disclosed implementations. It should be noted that there are many alternative ways of implementing the processes, systems, and apparatus of the present implementations. Accordingly, the present implementations are to be considered as illustrative and not restrictive, and the implementations are not to be limited to the details given herein.

Claims

1. A method for performing plasma enhanced atomic layer deposition (PEALD) on a substrate, comprising:
flowing a precursor into a process volume over a surface of a substrate, the precursor being configured to adsorb onto the surface of the substrate;
performing a first purge of the process volume;
flowing an oxidant gas into the process volume that is over the surface of the substrate; applying inductive RF power to the oxidant gas in the process volume to generate a plasma over the substrate, the applying inductive RF power being performed under a zero applied bias condition, the plasma being configured to convert the precursor that adsorbed onto the surface of the substrate into a film product;
performing a second purge of the process volume;
repeating the operations of flowing the precursor, performing the first purge, flowing the oxidant gas, applying RF power, and performing the second purge, until a predefined thickness of the film product is deposited onto the surface of the substrate.
2. The method of claim 1, wherein the plasma is generated to have a density in the range of about approximately 5 x 10 to 5 x 10 ions/cm at the surface of the substrate.
3. The method of claim 1, wherein the applying inductive RF power being performed under the zero applied bias condition enhances an isotropic effect of the plasma conversion of the adsorbed precursor on the surface of the substrate.
4. The method of claim 3, wherein the surface of the substrate includes one or more features.
5. The method of claim 4, wherein the features include one or more high aspect ratio features having a height to width ratio greater than 10 to 1.
6. The method of claim 1, wherein the film product is silicon dioxide.
7. The method of claim 6, wherein the precursor is DIPAS, SAM24, or BTBAS.
8. The method of claim 6, wherein the applying inductive RF power provides for the film product having a wafer etch rate relative to thermal oxide in the range of about less than 2.
9. The method of claim 6, wherein the applying inductive RF power provides for the film product having an increased density.
10. The method of claim 1, wherein the applying inductive RF power provides for the film product having an enhanced stoichiometry.
11. The method of claim 1, wherein the method is performed at a temperature in the range of about 300 to 750 Celsius.
12. The method of claim 1, wherein the applying inductive power is performed for a duration in the range of about 0.5 to 3 seconds.
13. The method of claim 1, wherein the applying inductive power is performed at a power in the range of about 50 to 1500 Watts.
14. The method of claim 1, wherein the method is performed at a pressure in the range of about 100 to 500 mTorr.
15. A method for performing plasma enhanced atomic layer deposition (PEALD) on a substrate, comprising:
flowing a silicon-containing precursor into a process volume over a surface of a substrate, the silicon-containing precursor being configured to adsorb onto the surface of the substrate; performing a first purge of the process volume;
flowing an oxidant gas into the process volume that is over the surface of the substrate; inductively transferring power through a dielectric window into the oxidant gas in the process volume to generate a plasma over the substrate, the plasma being configured to convert the silicon-containing precursor that adsorbed onto the surface of the substrate into a silicon dioxide film product;
performing a second purge of the process volume;
repeating the operations of flowing the precursor, performing the first purge, flowing the oxidant gas, inductively transferring power, and performing the second purge, until a predefined thickness of the silicon dioxide film product is deposited onto the surface of the substrate.
16. The method of claim 15, wherein the plasma is generated to have a density in the range of about approximately 5 x 10 to 5 x 10 ions/cm at the surface of the substrate.
17. The method of claim 15, wherein the inductively transferring power is performed under a substantially zero applied bias condition.
18. The method of claim 15, wherein the method is performed at a temperature in the range of about 300 to 750 Celsius.
19. The method of claim 15, wherein the method is performed at a pressure in the range of about 100 to 500 mTorr.
20. A method for performing plasma enhanced atomic layer deposition (PEALD) on a substrate, comprising:
flowing a silicon-containing precursor into a process volume over a surface of a substrate, the silicon-containing precursor being configured to adsorb onto the surface of the substrate; performing a first purge of the process volume;
flowing nitridant gas into the process volume that is over the surface of the substrate; inductively transferring power through a dielectric window into the nitridant gas in the process volume to generate a plasma over the substrate, the plasma being configured to convert the silicon-containing precursor that adsorbed onto the surface of the substrate into a silicon nitride film product;
performing a second purge of the process volume;
repeating the operations of flowing the precursor, performing the first purge, flowing the nitridant gas, inductively transferring power, and performing the second purge, until a predefined thickness of the silicon nitride film product is deposited onto the surface of the substrate.
21. The method of claim 20, wherein the plasma is generated to have a density in the range of about approximately 5 x 10 to 5 x 10 ions/cm at the surface of the substrate.
22. The method of claim 20, wherein the inductively transferring power is performed under a substantially zero applied bias condition.
23. The method of claim 20, wherein the method is performed at a temperature in the range of about 300 to 750 Celsius.
24. The method of claim 20, wherein the method is performed at a pressure in the range of about 100 to 500 mTorr.
PCT/US2019/059544 2018-11-01 2019-11-01 Method for generating high quality plasma for enhanced atomic layer deposition WO2020093013A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862754522P 2018-11-01 2018-11-01
US62/754,522 2018-11-01

Publications (1)

Publication Number Publication Date
WO2020093013A1 true WO2020093013A1 (en) 2020-05-07

Family

ID=70464740

Family Applications (1)

Application Number Title Priority Date Filing Date
PCT/US2019/059544 WO2020093013A1 (en) 2018-11-01 2019-11-01 Method for generating high quality plasma for enhanced atomic layer deposition

Country Status (2)

Country Link
TW (1) TW202034380A (en)
WO (1) WO2020093013A1 (en)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003229418A (en) * 2001-11-30 2003-08-15 Tokyo Electron Ltd Method of etching
US20120164842A1 (en) * 2010-12-27 2012-06-28 Tokyo Electron Limited Trench embedding method and film-forming apparatus
US20130029494A1 (en) * 2010-03-04 2013-01-31 Tokyo Electron Limited Plasma etching method, method for producing semiconductor device, and plasma etching device
US20170316988A1 (en) * 2012-11-08 2017-11-02 Novellus Systems, Inc. Methods for depositing films on sensitive substrates

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003229418A (en) * 2001-11-30 2003-08-15 Tokyo Electron Ltd Method of etching
US20130029494A1 (en) * 2010-03-04 2013-01-31 Tokyo Electron Limited Plasma etching method, method for producing semiconductor device, and plasma etching device
US20120164842A1 (en) * 2010-12-27 2012-06-28 Tokyo Electron Limited Trench embedding method and film-forming apparatus
US20170316988A1 (en) * 2012-11-08 2017-11-02 Novellus Systems, Inc. Methods for depositing films on sensitive substrates

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
ALAIN E. KALOYEROS, JOVÉ FERNANDO A., GOFF JONATHAN, ARKLES BARRY: "Review—Silicon Nitride and Silicon Nitride-Rich Thin Film Technologies: Trends in Deposition Techniques and Related Applications", ECS JOURNAL OF SOLID STATE SCIENCE AND TECHNOLOGY, ELECTROCHEMICAL SOCIETY, INC., US, vol. 6, no. 10, 29 September 2017 (2017-09-29), US, pages P691 - P714, XP055695831, ISSN: 2162-8769, DOI: 10.1149/2.0011710jss *

Also Published As

Publication number Publication date
TW202034380A (en) 2020-09-16

Similar Documents

Publication Publication Date Title
CN108728824B (en) Method for plasma-assisted cyclic deposition using ramp-down flow of reactant gas
US10741458B2 (en) Methods for depositing films on sensitive substrates
JP7062817B2 (en) Sedimentation of SiN
US10991573B2 (en) Uniform deposition of SiOC on dielectric and metal surfaces
TWI725985B (en) METHOD OF FORMING SiN THIN FILM ON SUBSTRATE IN REACTION SPACE
US9865455B1 (en) Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
CN107665811B (en) Gap fill for variable aspect ratio features using compound PEALD and PECVD methods
US9214333B1 (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US20170314128A1 (en) Chamber undercoat preparation method for low temperature ald films
TWI695905B (en) Method of depositing silicon nitride thin film
KR101975071B1 (en) Plasma activated conformal dielectric film deposition
TW201413044A (en) High pressure, high power plasma activated conformal film deposition
US20140273524A1 (en) Plasma Doping Of Silicon-Containing Films
US20200381623A1 (en) Methods of forming silicon nitride encapsulation layers
WO2020093013A1 (en) Method for generating high quality plasma for enhanced atomic layer deposition
US20230416909A1 (en) Method for formation of conformal ald sio2 films
TW202335080A (en) Methods of forming metal nitride films
WO2023196437A1 (en) Deposition of metal-containing films and chamber clean
WO2023049012A1 (en) Remote plasma deposition with electrostatic clamping

Legal Events

Date Code Title Description
121 Ep: the epo has been informed by wipo that ep was designated in this application

Ref document number: 19878034

Country of ref document: EP

Kind code of ref document: A1

NENP Non-entry into the national phase

Ref country code: DE

122 Ep: pct application non-entry in european phase

Ref document number: 19878034

Country of ref document: EP

Kind code of ref document: A1