TW202034380A - Method for generating high quality plasma for enhanced atomic layer deposition - Google Patents

Method for generating high quality plasma for enhanced atomic layer deposition Download PDF

Info

Publication number
TW202034380A
TW202034380A TW108139734A TW108139734A TW202034380A TW 202034380 A TW202034380 A TW 202034380A TW 108139734 A TW108139734 A TW 108139734A TW 108139734 A TW108139734 A TW 108139734A TW 202034380 A TW202034380 A TW 202034380A
Authority
TW
Taiwan
Prior art keywords
substrate
peald
plasma
atomic layer
layer deposition
Prior art date
Application number
TW108139734A
Other languages
Chinese (zh)
Inventor
艾里恩 拉芙依
瑞哲 派崔克
史貴凡迪 巴頓 凡
強 亨利
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW202034380A publication Critical patent/TW202034380A/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • H05H1/4645Radiofrequency discharges
    • H05H1/4652Radiofrequency discharges using inductive coupling means, e.g. coils

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

A method for performing plasma enhanced atomic layer deposition (PEALD) on a substrate includes: flowing a precursor into a process volume over a surface of a substrate, the precursor being configured to adsorb onto the surface of the substrate; performing a first purge of the process volume; flowing an oxidant gas into the process volume that is over the surface of the substrate; applying inductive RF power to the oxidant gas in the process volume to generate a plasma over the substrate, the applying inductive RF power being performed under a zero applied bias condition, the plasma being configured to convert the precursor that adsorbed onto the surface of the substrate into a film product; performing a second purge of the process volume; repeating the operations of the method until a predefined thickness of the film product is deposited onto the surface of the substrate.

Description

產生用於增強原子層沉積之高品質電漿的方法Method for producing high-quality plasma for enhancing atomic layer deposition

本發明之實施例係關於電漿輔助原子層沉積(PEALD),具體而言,係關於使用感應耦合式電漿(ICP)以輔助原子層沉積(ALD)。The embodiment of the present invention relates to plasma assisted atomic layer deposition (PEALD), specifically, relates to the use of inductively coupled plasma (ICP) to assist atomic layer deposition (ALD).

在半導體裝置及製造之背景下,技術進展之特徵為持續的裝置微型化。隨著特徵部尺寸縮小(在DRAM 及邏輯中> 20 nm)且深寬比增加(例如在3D NAND結構中為大於30比1),使得狹窄的高深寬比特徵部中之保形沉積變得更為重要,就製造的觀點而言,此持續帶來挑戰。電漿輔助原子層沉積(PEALD)已成為實現保形沉積之有前景的技術。藉由在升高的溫度下執行ALD亦可改善薄膜品質。然而,使用升高的溫度來提高薄膜品質受到裝置之熱預算所限制、且受到ALD前驅物之熱分解溫度所限制。In the context of semiconductor devices and manufacturing, technological progress is characterized by continuous device miniaturization. As the feature size shrinks (>20 nm in DRAM and logic) and the aspect ratio increases (for example, greater than 30 to 1 in 3D NAND structures), the conformal deposition in narrow high aspect ratio features becomes more Important, from a manufacturing point of view, this continues to pose challenges. Plasma Assisted Atomic Layer Deposition (PEALD) has become a promising technology to achieve conformal deposition. The film quality can also be improved by performing ALD at elevated temperatures. However, the use of elevated temperatures to improve film quality is limited by the thermal budget of the device and by the thermal decomposition temperature of the ALD precursor.

本發明之實施例係在此背景下產生。The embodiments of the present invention are produced in this context.

本發明之實施例包含用於執行電漿輔助原子層沉積(PEALD)的方法及系統,且在某些實施例中,更特定地涉及使用感應耦合式電漿(ICP)以輔助原子層沉積(ALD)。用於執行PEALD的某些實施例涉及在實質零施加偏壓條件下利用ICP沉積二氧化矽及氮化矽,從而實現優於先前方法的改良薄膜品質。Embodiments of the present invention include methods and systems for performing plasma-assisted atomic layer deposition (PEALD), and in some embodiments, more specifically related to the use of inductively coupled plasma (ICP) to assist atomic layer deposition ( ALD). Certain embodiments for performing PEALD involve the use of ICP to deposit silicon dioxide and silicon nitride under substantially zero applied bias conditions, thereby achieving improved film quality over previous methods.

在某些實施例中,提供一種用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其包含以下方法操作:使一前驅物流入基板之表面上方的一處理容積中,該前驅物係配置以吸附於該基板之該表面上;執行該處理容積之第一排淨處理;使一氧化劑氣體流入在該基板之該表面上方的該處理容積中;施加感應RF功率至該處理容積中的該氧化劑氣體,以在該基板上方產生電漿,施加感應RF功率之操作係在零施加偏壓條件下進行,該電漿係配置以將吸附於該基板之該表面上的該前驅物轉化為少量薄膜;執行該處理容積之第二排淨處理;重複進行使該前驅物流動、執行該第一排淨處理、使該氧化劑氣體流動、施加RF功率、及執行該第二排淨處理之操作,直到在該基板之該表面上沉積預定厚度的期望薄膜為止。In some embodiments, a method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate is provided, which includes the following method operations: flowing a precursor into a processing volume above the surface of the substrate, the precursor The substance system is configured to be adsorbed on the surface of the substrate; perform the first cleaning process of the processing volume; flow an oxidant gas into the processing volume above the surface of the substrate; apply inductive RF power to the processing volume The oxidant gas in the oxidant gas is used to generate plasma above the substrate, the operation of applying the induced RF power is performed under the condition of zero applied bias voltage, and the plasma is configured to adsorb the precursor on the surface of the substrate Convert into a small amount of film; perform the second row cleaning process of the processing volume; repeat the flow of the precursor, the first row cleaning process, the flow of the oxidant gas, the application of RF power, and the second row cleaning process The operation is performed until a desired thin film of a predetermined thickness is deposited on the surface of the substrate.

在某些實施例中,在零施加偏壓條件下進行施加感應RF功率之操作使得該基板之該表面上所吸附的該前驅物之電漿轉化的各向同性效應增強。In some embodiments, the operation of applying the induced RF power under the condition of zero applied bias increases the isotropic effect of the plasma conversion of the precursor adsorbed on the surface of the substrate.

在某些實施例中,該基板之該表面包含一或更多特徵部。In some embodiments, the surface of the substrate includes one or more features.

在某些實施例中,該等特徵部包含一或更多高深寬比特徵部,其具有大於10比1的高度對寬度比。In some embodiments, the features include one or more high aspect ratio features that have a height to width ratio greater than 10 to 1.

在某些實施例中,該薄膜產物為二氧化矽。In some embodiments, the thin film product is silicon dioxide.

在某些實施例中,該前驅物為DIPAS、SAM24、或BTBAS。In some embodiments, the precursor is DIPAS, SAM24, or BTBAS.

在某些實施例中,施加感應RF功率之操作所提供的該薄膜產物具有在約小於2之範圍內的相對於熱氧化物之晶圓蝕刻率。In some embodiments, the film product provided by the operation of applying inductive RF power has a wafer etch rate relative to thermal oxide in a range of about less than 2.

在某些實施例中,施加感應RF功率之操作所提供的該薄膜產物具有提高的密度。In certain embodiments, the operation of applying induced RF power provides the film product with an increased density.

在某些實施例中,施加感應RF功率之操作所提供的該薄膜產物具有增進的理想配比。In some embodiments, the operation of applying induced RF power provides the film product with an improved stoichiometric ratio.

在某些實施例中,該方法係在約攝氏300至750度之範圍內的溫度下執行。In some embodiments, the method is performed at a temperature in the range of approximately 300 to 750 degrees Celsius.

在某些實施例中,執行施加感應功率之操作達約0.5至3秒之範圍內的持續時間。In some embodiments, the operation of applying inductive power is performed for a duration in the range of about 0.5 to 3 seconds.

在某些實施例中,在約50至1500瓦之範圍內的功率下執行施加感應功率之操作。In some embodiments, the operation of applying inductive power is performed at a power in the range of about 50 to 1500 watts.

在某些實施例中,該方法係在約100至500 mTorr之範圍內的壓力下執行。In some embodiments, the method is performed at a pressure in the range of about 100 to 500 mTorr.

在某些實施例中,提供一種用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其包含以下方法操作:使一含矽前驅物流入基板之表面上方的一處理容積中,該含矽前驅物係配置以吸附於該基板之該表面上;執行該處理容積之第一排淨處理;使一氧化劑氣體流入在該基板之該表面上方的該處理容積中;感應式地傳遞功率通過介電窗至該處理容積中的該氧化劑氣體,以在該基板上方產生電漿,該電漿係配置以將吸附於該基板之該表面上的該含矽前驅物轉化為少量的二氧化矽薄膜;執行該處理容積之第二排淨處理;重複進行使該前驅物流動、執行該第一排淨處理、使該氧化劑氣體流動、感應式地傳遞功率、及執行該第二排淨處理之操作,直到在該基板之該表面上沉積預定厚度的該二氧化矽薄膜產物為止。In some embodiments, a method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate is provided, which includes the following method operations: flowing a silicon-containing precursor into a processing volume above the surface of the substrate, The silicon-containing precursor is configured to be adsorbed on the surface of the substrate; perform the first clean-up process of the processing volume; flow an oxidant gas into the processing volume above the surface of the substrate; transfer inductively The power passes through the dielectric window to the oxidant gas in the processing volume to generate a plasma above the substrate. The plasma is configured to convert the silicon-containing precursor adsorbed on the surface of the substrate into a small amount of two Silicon oxide film; perform the second row cleaning process of the processing volume; repeat the flow of the precursor, perform the first row cleaning process, flow the oxidant gas, inductively transfer power, and perform the second row cleaning The processing operation is performed until a predetermined thickness of the silicon dioxide film product is deposited on the surface of the substrate.

在某些實施例中,感應式地傳遞功率之操作係在實質零施加偏壓條件下進行。In some embodiments, the operation of inductively transferring power is performed under substantially zero applied bias conditions.

在某些實施例中,該方法係在約攝氏300至750度之範圍內的溫度下執行。In some embodiments, the method is performed at a temperature in the range of approximately 300 to 750 degrees Celsius.

在某些實施例中,該方法係在約100至500 mTorr之範圍內的壓力下執行。In some embodiments, the method is performed at a pressure in the range of about 100 to 500 mTorr.

在某些實施例中,提供一種用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其包含以下方法操作:使一含矽前驅物流入基板之表面上方的一處理容積中,該含矽前驅物係配置以吸附於該基板之該表面上;執行該處理容積之第一排淨處理;使含氮氣體流入在該基板之該表面上方的該處理容積中;感應式地傳遞功率通過介電窗至該處理容積中的該含氮氣體,以在該基板上方產生電漿,該電漿係配置以將吸附於該基板之該表面上的該含矽前驅物轉化為少量氮化矽薄膜;執行該處理容積之第二排淨處理;重複進行使該前驅物流動、執行該第一排淨處理、使該含氮氣體流動、感應式地傳遞功率、及執行該第二排淨處理之操作,直到在該基板之該表面上沉積預定厚度的該氮化矽薄膜為止。In some embodiments, a method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate is provided, which includes the following method operations: flowing a silicon-containing precursor into a processing volume above the surface of the substrate, The silicon-containing precursor is configured to be adsorbed on the surface of the substrate; perform the first cleaning process of the processing volume; flow the nitrogen-containing gas into the processing volume above the surface of the substrate; transfer inductively Power passes through the dielectric window to the nitrogen-containing gas in the processing volume to generate a plasma above the substrate, the plasma is configured to convert the silicon-containing precursor adsorbed on the surface of the substrate into a small amount of nitrogen Silica film; perform the second row cleaning process of the processing volume; repeat the flow of the precursor, perform the first row cleaning process, flow the nitrogen-containing gas, inductively transfer power, and perform the second row The operation of the net treatment is until the silicon nitride film of a predetermined thickness is deposited on the surface of the substrate.

在某些實施例中,感應式地傳遞功率之操作係在實質零施加偏壓條件下進行。In some embodiments, the operation of inductively transferring power is performed under substantially zero applied bias conditions.

在某些實施例中,該方法係在約攝氏300至750度之範圍內的溫度下執行。In some embodiments, the method is performed at a temperature in the range of approximately 300 to 750 degrees Celsius.

在某些實施例中,該方法係在約100至500 mTorr之範圍內的壓力下執行。In some embodiments, the method is performed at a pressure in the range of about 100 to 500 mTorr.

應理解,前述內容表示本發明之某些非限制性實施例的概要。其他的實施例對於熟習本發明之範疇之技藝者而言係明顯的。It should be understood that the foregoing represents an overview of certain non-limiting embodiments of the present invention. Other embodiments are obvious to those skilled in the scope of the present invention.

在以下描述中,說明許多特定細節以提供對所提出之實施例的透徹理解。在毋須若干或全部此等特定細節之情況下即可實行所揭示之實施例。在其他範例中,為了不使所揭示之實施例晦澀難懂,習知的處理操作不會有詳細描述。雖然所揭示之實施例將與特定實施例一同描述,但應理解並非意圖限制所揭示之實施例。In the following description, many specific details are explained to provide a thorough understanding of the proposed embodiments. The disclosed embodiments can be implemented without some or all of these specific details. In other examples, in order not to obscure the disclosed embodiments, the conventional processing operations will not be described in detail. Although the disclosed embodiments will be described together with specific embodiments, it should be understood that it is not intended to limit the disclosed embodiments.

本文提供用於執行電漿輔助原子層沉積(PEALD)的方法及系統,其能夠在相當的溫度及處理時間下提供比先前可達成者更高的薄膜品質。廣泛而言,利用原位產生的感應耦合式電漿(ICP)以進行PEALD,為沉積處理提供增強能量使得薄膜品質獲得改善。與先前可達成者相比,改良的薄膜品質使得能夠在較低溫度及較短處理時間下獲得高品質薄膜。並且,由於可在不提高溫度之情況下改善薄膜品質,因此這擴展了某些前驅物的可行性,否則該等前驅物可能受限於其熱分解溫度限制。This article provides methods and systems for performing plasma-assisted atomic layer deposition (PEALD), which can provide higher film quality than previously achievable under a comparable temperature and processing time. Broadly speaking, inductively coupled plasma (ICP) generated in situ is used for PEALD to provide enhanced energy for the deposition process and improve the quality of the film. Compared with previous achievable ones, the improved film quality enables high-quality films to be obtained at lower temperatures and shorter processing times. Moreover, since the film quality can be improved without increasing the temperature, this expands the feasibility of certain precursors, which may otherwise be limited by their thermal decomposition temperature limits.

例如與電容耦合式電漿(CCP)相比,將ICP用於ALD能夠在較低溫度下獲得較佳的薄膜。例如,在200C下所沉積之ICP ALD薄膜可能等同於在400C下所沉積之CCP ALD薄膜。沉積處理之溫度上限取決於前驅物的熱分解溫度。藉由使用ICP,使得有更多的能量被施加至薄膜中(例如,施加於特徵部側壁上),且此可與高溫(在前驅物之熱穩定範圍內)結合使用,以獲得比使用CCP ALD可得者更高品質的薄膜。此在某些情況下可促成較低成本前驅物之使用。例如,在一給定溫度(例如比較高成本前驅物分解時之溫度更低)下分解之較低成本前驅物可能無法與CCP一起使用,因為即使在前驅物之熱上限下薄膜品質仍不足。然而,在使用ICP之情況下,較低成本之前驅物可能變得可使用,因為具有由ICP所施加的額外能量,其將薄膜品質改善至可接受的水準。For example, compared with capacitively coupled plasma (CCP), using ICP for ALD can obtain better films at lower temperatures. For example, an ICP ALD film deposited at 200C may be equivalent to a CCP ALD film deposited at 400C. The upper limit of the deposition temperature depends on the thermal decomposition temperature of the precursor. By using ICP, more energy is applied to the film (for example, applied to the sidewall of the feature), and this can be combined with high temperature (within the thermal stability range of the precursor) to obtain more energy than using CCP ALD can get higher quality films. This can facilitate the use of lower cost precursors in some cases. For example, a lower-cost precursor that decomposes at a given temperature (for example, a lower temperature when the higher-cost precursor decomposes) may not be used with CCP because the film quality is still insufficient even under the thermal upper limit of the precursor. However, in the case of using ICP, lower cost precursors may become available because of the additional energy applied by ICP, which improves the film quality to an acceptable level.

下方的表1為顯示工業中常用的各種二氧化矽前驅物之特性的圖表。[ 1 ] BTBAS SAM24 DIPAS 化學名稱 雙(叔丁胺基)矽烷 雙(二乙基胺基)矽烷 二異丙基胺基矽烷 化學式 H2Si[NH(C4H9)]2 H2Si[N(C2H5)2]2 H3Si[N{(CH)(CH3)}2] 莫耳質量 (g/mol) 174.3 174.36 131.29 沸點 (o C) 167 188 116 密度 (g/ml) 0.816 0.788 0.756 操作溫度範圍 (度 攝氏(C)) > 600 C > 350 C > 400 C Table 1 below is a chart showing the characteristics of various silicon dioxide precursors commonly used in the industry. [ Table 1 ] BTBAS SAM24 DIPAS Chemical Name Bis(tert-butylamino)silane Bis(diethylamino)silane Diisopropylaminosilane Chemical formula H2Si[NH(C4H9)]2 H2Si[N(C2H5)2]2 H3Si[N{(CH)(CH3)}2] Molar mass (g/mol) 174.3 174.36 131.29 Boiling point ( o C) 167 188 116 Density (g/ml) 0.816 0.788 0.756 Operating temperature range (degree Celsius (C)) > 600 C > 350 C > 400 C

工業中常用的三種前驅物包含BTBAS、SAM24、及DIPAS。應理解,此等前驅物的化學結構本質上決定黏附係數、立體阻礙、沉積速率、蒸氣壓、及操作溫度範圍。尤其,應注意,一給定前驅物之分解溫度設立了可利用該給定前驅物執行原子層沉積的上限,且因此無法藉由提高溫度而實現對使用該給定前驅物之薄膜品質的進一步改善。The three precursors commonly used in the industry include BTBAS, SAM24, and DIPAS. It should be understood that the chemical structure of these precursors essentially determines the adhesion coefficient, steric hindrance, deposition rate, vapor pressure, and operating temperature range. In particular, it should be noted that the decomposition temperature of a given precursor establishes an upper limit that can be used to perform atomic layer deposition with the given precursor, and therefore it is impossible to improve the quality of the film using the given precursor by increasing the temperature. improve.

在其穩定操作溫度範圍內,BTBAS、SAM24、及DIPAS均能夠提供相仿程度的沉積速率、晶圓內不均勻性、晶圓間不均勻性(重複性)、缺陷、晶圓蝕刻速率比(WERR,相對於熱氧化物的濕式蝕刻率)、及應力。廣泛而言,前驅物本身決定操作溫度範圍及沉積速率(基於黏附係數、立體阻礙、蒸氣壓)。而在前驅物之操作溫度範圍內,晶圓內不均勻性、晶圓間不均勻性、及缺陷性能主要係與硬體相關。薄膜品質(以WERR、應力性能、及電性質為特徵)主要取決於前驅物的轉化步驟,包括諸如RF功率、RF時間、及氧化劑氣體組成等因素。Within their stable operating temperature range, BTBAS, SAM24, and DIPAS can provide similar levels of deposition rate, intra-wafer unevenness, inter-wafer unevenness (repeatability), defects, wafer etching rate ratio (WERR , Relative to the wet etching rate of thermal oxide), and stress. Broadly speaking, the precursor itself determines the operating temperature range and deposition rate (based on adhesion coefficient, steric hindrance, vapor pressure). In the operating temperature range of the precursor, the unevenness within the wafer, the unevenness between the wafers, and the defect performance are mainly related to the hardware. Film quality (characterized by WERR, stress performance, and electrical properties) mainly depends on the conversion step of the precursor, including factors such as RF power, RF time, and oxidant gas composition.

某些前驅物(例如SAM24及DIPAS)在相對低溫下進行分解。對於此等前驅物而言,僅僅使前驅物流過較熱的物體即存在分解的風險,其可能導致熱化學氣相沉積(CVD)狀態。此為非常不期望的情況,因為如此即不再可能達到ALD處理所尋求的保形性。並且,CVD往往具有不良的晶圓內不均勻性且受到取決於圖案密度之負載效應(薄膜厚度的變化)的影響。Some precursors (such as SAM24 and DIPAS) decompose at relatively low temperatures. For these precursors, there is a risk of decomposition simply by passing the precursor through a hotter object, which may lead to a thermal chemical vapor deposition (CVD) state. This is a very undesirable situation because it is no longer possible to achieve the shape retention sought by ALD processing. In addition, CVD often has poor in-wafer inhomogeneity and is affected by a load effect (change in film thickness) that depends on the pattern density.

然而,BTBAS具有較高的熱分解溫度,因此容許高許多的溫度。透過採用較高的溫度,使得所得之薄膜的特性獲得改善。例如,WERR減小且更接近熱氧化物,並且電性質變得更佳。However, BTBAS has a higher thermal decomposition temperature and therefore allows much higher temperatures. By using a higher temperature, the characteristics of the resulting film are improved. For example, WERR decreases and is closer to thermal oxide, and electrical properties become better.

改善薄膜性能的兩種方式為(1) 藉由例如在較高溫度下進行沉積處理以使整個系統的能量增加、或者(2) 使進入薄膜之RF活化作用的量增加。ICP採用後者,使得在轉化步驟期間進入薄膜的RF活化作用增加,從而能夠在較低溫度下進行處理並同時產生較佳的薄膜。在某些情況下,可能在空白晶圓(blanket wafers)上實現良好的性能度量(例如WERR)。然而,此並不會轉換為圖案化基板上的高品質薄膜,因為存在難以保形塗佈的高深寬比特徵部及其他拓樸。然而,ICP能夠提供深入特徵部且沿著側壁的增強活化。Two ways to improve film properties are (1) increase the energy of the entire system by, for example, performing a deposition process at a higher temperature, or (2) increase the amount of RF activation that enters the film. ICP uses the latter, which increases the RF activation into the film during the conversion step, which enables processing at a lower temperature and at the same time produces a better film. In some cases, it is possible to achieve good performance metrics (such as WERR) on blank wafers. However, this will not translate into a high-quality thin film on a patterned substrate because of the high aspect ratio features and other topologies that are difficult to conformally coat. However, ICP can provide enhanced activation deep into the features and along the sidewalls.

依據本發明之實施例,圖1為顯示SiO2 ALD前驅物之隨溫度而變化的沉積速率之圖表。具體而言,所顯示之圖表演示SAM24之分解限制,同時亦顯示BTBAS在升高溫度下的穩定性。若干曲線顯示出SAM24及BTBAS之沉積速率與底座溫度(其界定基板的溫度)的關係。According to an embodiment of the present invention, FIG. 1 is a graph showing the deposition rate of the SiO 2 ALD precursor as a function of temperature. Specifically, the graph shown demonstrates the decomposition limit of SAM24 and also shows the stability of BTBAS at elevated temperatures. Several curves show the relationship between the deposition rate of SAM24 and BTBAS and the base temperature (which defines the temperature of the substrate).

曲線100及102分別顯示在低與高RF條件下使用SAM24作為前驅物的沉積速率。低RF條件通常被定義為在約200至2000W(分配於4個站)之範圍內的RF功率、及約0.15至0.5秒的短RF時間範圍。高RF條件通常被定義為在約2000至6000W(分配於4個站)之範圍內提供的RF功率、及約0.5至1.5秒的長RF時間範圍。在某些實施例中,RF功率被分配於處理工具的複數站(例如四個處理站)之間,因此對於單站處理工具而言可例如將上述RF功率範圍除以四。曲線104及106分別顯示在低與高RF條件下使用BTBAS作為前驅物的沉積速率。Curves 100 and 102 show the deposition rate of SAM24 as the precursor under low and high RF conditions, respectively. Low RF conditions are generally defined as RF power in the range of about 200 to 2000 W (distributed to 4 stations) and a short RF time range of about 0.15 to 0.5 seconds. High RF conditions are generally defined as the RF power provided in the range of about 2000 to 6000 W (distributed to 4 stations) and the long RF time range of about 0.5 to 1.5 seconds. In some embodiments, the RF power is distributed among a plurality of stations (for example, four processing stations) of the processing tool, so for a single-station processing tool, the aforementioned RF power range can be divided by four, for example. Curves 104 and 106 show the deposition rate of using BTBAS as the precursor under low and high RF conditions, respectively.

如圖所示,在400C下的SAM24之沉積速率實際上會增加,因為基板的熱造成流經基板的SAM24之熱分解,其導致類似CVD的模式,其中前驅物脫離氣相而落於基板表面上,且因此薄膜之生長不再隨RF活化作用變化而改變。As shown in the figure, the deposition rate of SAM24 at 400C actually increases because the heat of the substrate causes the thermal decomposition of the SAM24 flowing through the substrate, which results in a CVD-like mode in which the precursor leaves the gas phase and falls on the substrate surface Therefore, the growth of the film no longer changes with the RF activation.

因此,歸因於所示結果所演示的SAM24之熱分解,因此使用SAM24的ALD沉積被控制在低於約400C的ALD溫度。Therefore, due to the thermal decomposition of SAM24 demonstrated by the results shown, the ALD deposition using SAM24 is controlled at an ALD temperature below about 400C.

然而,與SAM24相比,BTBAS前驅物在較高溫度下表現出穩定性。亦應注意,與SAM24相比,BTBAS在相同溫度下表現出較高的生長速率。However, compared with SAM24, the BTBAS precursor exhibits stability at higher temperatures. It should also be noted that, compared to SAM24, BTBAS exhibits a higher growth rate at the same temperature.

不受任何特定操作理論的限制下,假定在高溫下的生長速率較低,因為在表面上供前驅物附著的Si-OH鍵較少。在較高溫度下,H較容易從薄膜及表面脫附,且此會造成較低的生長速率及較緻密的薄膜。當H被含入時,Si-OH封端鍵形成,且此與Si-O-Si網路相比而使得密度降低。Without being limited by any particular theory of operation, it is assumed that the growth rate at high temperatures is lower because there are fewer Si-OH bonds on the surface for the precursor to attach. At higher temperatures, H is easier to desorb from the film and the surface, and this will result in a lower growth rate and a denser film. When H is contained, Si-OH end-cap bonds are formed, and this reduces the density compared with the Si-O-Si network.

在某些實施例中,若前驅物在升高溫度下保持穩定,則沉積係在此等升高溫度下進行,其使得薄膜品質獲得改善。舉例而言(但非限制性),在某些實施例中,在高達約750 C之溫度下進行沉積。在某些實施例中,在高達約1000C之溫度下進行沉積。In some embodiments, if the precursor remains stable at elevated temperatures, the deposition is performed at these elevated temperatures, which results in improved film quality. By way of example (but not limitation), in certain embodiments, deposition is performed at temperatures up to about 750°C. In certain embodiments, deposition is performed at temperatures up to about 1000C.

系統的能量包含熱能與RF能的總和,其共同協助所得之薄膜變得更具理想配比、更為緻密、且品質更佳。The energy of the system includes the sum of heat energy and RF energy, which together help the resulting film to become more ideally proportioned, denser, and of better quality.

如所示結果所演示,在550C以上的溫度下,BTBAS前驅物能夠在ALD狀態下操作。此可用以將ALD處理擴展至其他前驅物(如SAM24及DIPAS)之溫度範圍以外。As demonstrated by the results shown, the BTBAS precursor can be operated in the ALD state at temperatures above 550C. This can be used to extend the ALD process beyond the temperature range of other precursors (such as SAM24 and DIPAS).

依據本發明之實施例,圖2為顯示溫度及RF能量對薄膜品質的影響之圖表,其中薄膜品質係以WERR(相對於熱氧化物的晶圓蝕刻率)進行衡量。圖中顯示出在各種溫度及高與低RF能量下藉由PEALD所沉積之SiO2 的結果。According to an embodiment of the present invention, FIG. 2 is a graph showing the influence of temperature and RF energy on film quality, where the film quality is measured by WERR (wafer etch rate relative to thermal oxide). The figure shows the results of SiO 2 deposited by PEALD at various temperatures and high and low RF energies.

例如,對於在50C下執行之PEALD而言,在使用低RF能量的情況下,所得薄膜的WERR為14,但在使用高RF能量的情況下,所得薄膜的WERR為7。For example, for PEALD performed at 50C, when low RF energy is used, the WERR of the obtained film is 14, but when high RF energy is used, the WERR of the obtained film is 7.

而對於在550C下執行之PEALD而言,在使用低RF能量的情況下,所得薄膜的WERR約為2,但在使用高RF能量的情況下,所得薄膜的WERR約為1.5。For PEALD performed at 550C, when low RF energy is used, the WERR of the obtained film is about 2, but when high RF energy is used, the WERR of the obtained film is about 1.5.

依據本發明之實施例,圖3為顯示利用ICP PEALD及CCP PEALD處理所進行之SiO2 沉積結果的圖表。顯示出從變化的RF功率(例如200至6000W)、RF時間(如圖示,以秒為單位)、及溫度(例如50至550C)下所沉積之薄膜獲得的結果圖。具體而言,在所示圖表中,顯示出沿特徵部底部側壁的WERR(相對於熱氧化物)結果與RF時間的關係。According to an embodiment of the present invention, FIG. 3 is a graph showing the results of SiO 2 deposition by ICP PEALD and CCP PEALD treatments. Shows the results obtained from the film deposited under varying RF power (for example, 200 to 6000W), RF time (as shown, in seconds), and temperature (for example, 50 to 550C). Specifically, in the graph shown, the relationship between the WERR (relative to thermal oxide) result along the bottom sidewall of the feature and the RF time is shown.

出乎意料地,與使用CCP執行的PEALD相比,使用ICP執行的PEALD所得之薄膜品質有顯著改善。此從所示結果中可明顯看出,因為整個ICP WERR狀況(指示於參考符號300)低於CCP的結果(指示於參考符號302)。亦即,在相似的RF功率、RF時間、及溫度之製程條件下,與使用CCP處理所沉積的薄膜相比,使用ICP處理所沉積的薄膜呈現出較低的WERR,因此具有較佳的薄膜品質。Unexpectedly, compared with PEALD performed using CCP, the quality of the film obtained using PEALD performed using ICP is significantly improved. This can be clearly seen from the results shown because the overall ICP WERR condition (indicated by reference symbol 300) is lower than the result of CCP (indicated by reference symbol 302). That is, under similar process conditions of RF power, RF time, and temperature, compared with the film deposited by CCP treatment, the film deposited by ICP treatment exhibits lower WERR, so it has a better film quality.

應理解,相較於先前使用CCP系統所達成者,藉由使用直接ICP系統所產生的的改良薄膜品質為超乎預期的結果。ICP及CCP皆為所屬技術領域中所公知的用於為各種目的而產生電漿之合適技術/系統。並且感應耦合式與電容耦合式電漿生成兩者皆被用於進行PEALD。然而,雖然直接ICP腔室被廣泛用於閘極蝕刻及金屬蝕刻應用中,但其未被用於PEALD。通常,在直接ICP腔室中,介電窗與基板之間的間隙相當大,俾容納電漿循環並提供適當的電漿均勻性(例如,為了蝕刻性能的晶圓內均勻性),且此引致較大的腔室容積及腔室中氣體較長的滯留時間。因此,直接ICP腔室未被視為適用於ALD處理,在ALD處理中期望進行快速的氣體切換以改善產能,因為ALD通常提供良好的保形覆蓋但原子級生長速率低。然而,吾人發現,將直接ICP腔室用於PEALD提供意料之外的薄膜品質改善,此改善超出了基於先前結果所能預期者之外,其中該先前結果係利用依照遠程電漿設置的CCP或ICP所達成。It should be understood that the improved film quality produced by using the direct ICP system is a result beyond expectations compared to what was previously achieved using the CCP system. Both ICP and CCP are suitable technologies/systems known in the art for generating plasma for various purposes. And both inductive coupling and capacitive coupling plasma generation are used for PEALD. However, although the direct ICP chamber is widely used in gate etching and metal etching applications, it has not been used in PEALD. Generally, in a direct ICP chamber, the gap between the dielectric window and the substrate is quite large to accommodate plasma circulation and provide appropriate plasma uniformity (for example, in-wafer uniformity for etching performance), and this This results in a larger chamber volume and a longer residence time of the gas in the chamber. Therefore, the direct ICP chamber is not considered suitable for ALD processing, and rapid gas switching is desired in ALD processing to improve productivity, because ALD generally provides good conformal coverage but a low atomic-level growth rate. However, we have found that the use of the direct ICP chamber for PEALD provides an unexpected improvement in film quality, which is beyond what can be expected based on previous results, where the previous results are based on CCP or Achieved by ICP.

依據本發明之實施例的ICP硬體係配置以原位地產生電漿,其係透過將RF功率感應耦合至處理腔室中以在基板/晶圓上直接產生電漿。因此,相對於使用遠程CCP/ICP電漿源的先前PEALD技術,依據本發明之實施例的方法提供「直接」電漿(在此例中為直接ICP)。The ICP hard system according to an embodiment of the present invention is configured to generate plasma in situ by inductively coupling RF power into the processing chamber to directly generate plasma on the substrate/wafer. Therefore, in contrast to the previous PEALD technology using a remote CCP/ICP plasma source, the method according to an embodiment of the present invention provides "direct" plasma (in this case, direct ICP).

不受理論的限制下,一般相信,原位直接產生電漿的本發明之ICP處理能夠在晶圓附近產生較高密度的電漿(與CCP處理的電漿相比),而不會同時地影響電漿的偏壓及方向性。此對於現有的CCP處理而言係重要的,增加電漿密度需要增加RF功率,其亦使得偏壓增加。雖然此增加的電漿密度通常可改善薄膜品質,但存在可用密度之上限。當電漿電壓足夠高時,薄膜濺射之情況發生,其使得保形性減低或造成其他薄膜損害,從而導致薄膜品質降低。Without being limited by theory, it is generally believed that the ICP processing of the present invention that directly generates plasma in situ can generate a higher density of plasma near the wafer (compared with CCP processed plasma) without simultaneously Affect the bias and directionality of the plasma. This is important for the existing CCP process. Increasing the plasma density requires increasing the RF power, which also increases the bias voltage. Although this increased plasma density generally improves film quality, there is an upper limit to the available density. When the plasma voltage is high enough, film sputtering occurs, which reduces the conformability or causes other film damages, which leads to a decrease in film quality.

然而,藉由使用本發明之ICP處理,使得電漿密度與偏壓彼此脫鉤且能夠被獨立控制。因此,具有零施加偏壓(或低或最小偏壓)的高密度電漿為可能的,且一般相信,此對提供較高能量至薄膜中,從而在特徵部中更均勻地分佈能量通量。廣泛而言,對於一給定電漿源而言,應理解,電漿密度趨於隨以下者而變化:所施加之功率瓦數除以其所施加至的表面積。一般相信,非離子物種(包括例如自由基(如單態/三態氧)、介穩態、及中性粒子)被輸送至特徵部內,並同時使離子轟擊效應最小化。因此一關鍵技術優勢為與較低密度之來源相比而輸送更多的自由基。結果為,前驅物在整個給定特徵部中實質上均勻地轉化(氧化)為氧化物,因此之前使用先前PEALD處理而表現出不良薄膜品質的區域(例如高深寬比特徵部的底部側壁區域)現在表現出與基板表面之其他區域(例如特徵部底部及場域區域)相匹配的高薄膜品質。在氧化物沉積之情況下,有較多的H消除作用及完全的氧化,因此在整個特徵部之所有表面形成較緻密的薄膜。因此,本發明之實施例能夠在基板的整個表面結構上沉積高度保形且一貫高品質的薄膜,包括在難以達成的高深寬比特徵部內。However, by using the ICP processing of the present invention, the plasma density and bias voltage are decoupled from each other and can be independently controlled. Therefore, high-density plasma with zero applied bias (or low or minimum bias) is possible, and it is generally believed that this pair provides higher energy to the film, thereby more evenly distributing the energy flux in the features . Broadly speaking, for a given plasma source, it should be understood that the plasma density tends to vary as a function of the wattage of power applied divided by the surface area to which it is applied. It is generally believed that non-ionic species (including, for example, free radicals (such as singlet/trit oxygen), metastable states, and neutral particles) are transported into the feature while minimizing ion bombardment effects. Therefore, a key technical advantage is to transport more free radicals compared to lower density sources. As a result, the precursors are substantially uniformly converted (oxidized) to oxides throughout a given feature, and therefore areas where previous PEALD treatments have shown poor film quality (e.g., bottom sidewall areas of high aspect ratio features) It now exhibits high film quality that matches other areas of the substrate surface (such as the bottom of the feature and the field area). In the case of oxide deposition, there is more H elimination effect and complete oxidation, so a denser film is formed on all surfaces of the entire feature. Therefore, the embodiments of the present invention can deposit a highly conformal and consistently high-quality thin film on the entire surface structure of the substrate, including the difficult-to-achieve high aspect ratio features.

在某些實施例中,在基板表面處所提供之電漿密度係在約5 x 1010 至5 x1012 個離子/cm3 的範圍內。在某些實施例中,解離成自由基的百分比為中性氣體密度(約2.5 x 1014 個原子/cm3 至2.5 x 1015 個原子/cm3 )的大約10-16%。在某些實施例中,ICP源為一平面源,且直接在基板的平面上產生電漿。In some embodiments, the plasma density provided at the surface of the substrate is in the range of about 5×10 10 to 5×10 12 ions/cm 3 . In some embodiments, the percentage of dissociation into free radicals is about 10-16% of the neutral gas density (about 2.5×10 14 atoms/cm 3 to 2.5×10 15 atoms/cm 3 ). In some embodiments, the ICP source is a planar source and directly generates plasma on the plane of the substrate.

應注意,雖然描述在零施加偏壓條件下的ICP輔助沉積,但應理解,此未必意指在操作期間絕對零偏壓存在。因為在腔室操作期間可能存在一些低量偏壓。然而,對於本發明而言,零偏壓條件(或實質零偏壓條件)意指未特意在系統中施加偏壓。如上所述,ICP源可依據電漿條件而產生可量測但通常低於約20-30 V的自偏壓。It should be noted that although the ICP-assisted deposition under the condition of zero applied bias is described, it should be understood that this does not necessarily mean that absolute zero bias exists during operation. Because there may be some low bias during chamber operation. However, for the present invention, the zero-bias condition (or substantially zero-bias condition) means that the bias voltage is not intentionally applied in the system. As mentioned above, the ICP source can generate a self-bias voltage that is measurable but usually less than about 20-30 V depending on the plasma conditions.

應注意,結果顯示,可透過ICP PEALD處理但在較低溫度、較低RF時間、及/或較低RF功率下達到與一給定CCP PEALD處理同等的薄膜品質。利用較低RF時間實現同等薄膜品質的能力可用以改善大量製造操作中的產能。It should be noted that the results show that the film quality can be achieved by ICP PEALD treatment but at lower temperature, lower RF time, and/or lower RF power to achieve the same film quality as a given CCP PEALD treatment. The ability to achieve the same film quality with a lower RF time can be used to improve throughput in mass manufacturing operations.

關於溫度,應理解,可在CCP處理中使用較高溫度以改善薄膜品質。然而,吾人發現,藉由使用ICP處理,可能在較低溫度下獲得同等的薄膜品質。此由於若干原因而為有利的。Regarding temperature, it should be understood that higher temperatures can be used in CCP processing to improve film quality. However, we have found that by using ICP processing, it is possible to obtain the same film quality at a lower temperature. This is advantageous for several reasons.

高溫可能係不可行的,因為若溫度到達前驅物的熱分解限制,則不再可能透過進一步提高溫度而獲得更佳的薄膜。因此ICP促成先前針對某些前驅物無法獲得的薄膜品質,其中該等前驅物係受限於其熱分解溫度。High temperature may not be feasible, because if the temperature reaches the thermal decomposition limit of the precursor, it is no longer possible to obtain a better film by further increasing the temperature. Therefore, ICP contributes to film quality previously unavailable for certain precursors, which are limited by their thermal decomposition temperature.

此外,暴露於高溫可能對基板上之裝置結構有害。許多裝置無法在高於特定溫度的溫度下受處理,且可能有熱預算。以下提供若干非限制性範例。In addition, exposure to high temperatures can be harmful to the device structure on the substrate. Many devices cannot be processed at temperatures higher than a certain temperature and may have a thermal budget. Some non-limiting examples are provided below.

例如,可將PCRAM/相變化記憶體之製造控制於不超過約200C的溫度,因為在高於此溫度下硫族化物可能與轉化化學品反應、擴散、或變化。For example, the manufacturing of PCRAM/phase change memory can be controlled at a temperature not exceeding about 200C, because chalcogenide may react, diffuse, or change with the conversion chemicals above this temperature.

在邏輯前端製程(FEOL)之處理中,溫度限制取決於裝置結構與諸如Ge之百分比及其是否暴露等考量。因此,沉積溫度可能被限制為低至400 C。In FEOL processing, the temperature limit depends on the device structure and considerations such as the percentage of Ge and whether it is exposed. Therefore, the deposition temperature may be limited to as low as 400°C.

在後端製程(BEOL)模組/邏輯處理中,由於存在銅遷移及擴散的風險,因此可將所有銅金屬化之BEOL處理限制於約400C。In the back-end manufacturing (BEOL) module/logic processing, due to the risk of copper migration and diffusion, the BEOL processing of all copper metallization can be limited to about 400C.

在DRAM製造中,可將溫度限制在低於600 C,特別係對於在製造流程中之後期進行的層間介電質(ILD)處理步驟而言。In DRAM manufacturing, the temperature can be limited to less than 600°C, especially for the interlayer dielectric (ILD) processing steps performed later in the manufacturing process.

MRAM製造通常被限制於約200-300 C。MRAM manufacturing is generally limited to about 200-300 C.

在NAND製造中,在某些實施例及製造流程的某些階段中,溫度上限可在約650至700C之範圍內。In NAND manufacturing, in certain embodiments and certain stages of the manufacturing process, the upper temperature limit may be in the range of about 650 to 700C.

其他新興技術(例如ReRAM)可能有熱預算及溫度限制,其係歸因於結構的敏感性及/或材料組成。Other emerging technologies (such as ReRAM) may have thermal budget and temperature limitations due to structural sensitivity and/or material composition.

在某些實施例中,本發明之PEALD處理係在大約50至750 C之範圍內的溫度下進行;在某些實施例中,其係在大約-50 C至900 C之範圍內的溫度下進行。In some embodiments, the PEALD treatment of the present invention is performed at a temperature in the range of about 50 to 750 C; in some embodiments, it is performed at a temperature in the range of about -50 C to 900 C get on.

在某些實施例中,對於每一PEALD循環而言,施加感應功率達約0.1至數十秒之範圍內的持續時間;在某些實施例中,施加感應功率達約0.2至5秒之範圍內的持續時間。In some embodiments, for each PEALD cycle, the induction power is applied for a duration in the range of about 0.1 to tens of seconds; in some embodiments, the induction power is applied for a range of about 0.2 to 5 seconds. The duration within.

在某些實施例中,對於每一PEALD循環而言,為300 mm晶圓提供在約50至12000W之範圍內的感應功率;在某些實施例中,功率係在約1000至10000W之範圍內。在某些實施例中,功率約為6000 W。在某些實施例中,將功率分配於四個處理站。In some embodiments, for each PEALD cycle, 300 mm wafers are provided with an induction power in the range of about 50 to 12000W; in some embodiments, the power is in the range of about 1000 to 10000W . In some embodiments, the power is about 6000 W. In some embodiments, power is allocated to four processing stations.

可控制以在ICP PEALD處理中提供改良的薄膜品質之另一態樣為腔室壓力。廣泛而言,已觀察到低壓可提供改良的側壁WERR。舉例而言(非限制性),在某些實施例中,腔室壓力係在約0.005至2 Torr之範圍內;在某些實施例中,腔室壓力係在約0.05至1 Torr之範圍內;在某些實施例中,腔室壓力係在約0.08至0.8 Torr之範圍內;在某些實施例中,腔室壓力係在約0.1至0.5 Torr之範圍內。Another aspect that can be controlled to provide improved film quality in the ICP PEALD process is the chamber pressure. Broadly speaking, it has been observed that low pressure can provide an improved sidewall WERR. For example (not limiting), in some embodiments, the chamber pressure is in the range of about 0.005 to 2 Torr; in some embodiments, the chamber pressure is in the range of about 0.05 to 1 Torr ; In some embodiments, the chamber pressure is in the range of about 0.08 to 0.8 Torr; in some embodiments, the chamber pressure is in the range of about 0.1 to 0.5 Torr.

不受任何特定操作理論的限制下,一般相信,在此等壓力條件下有增量的自由基到達晶圓表面(尤其係高AR特徵部中之表面)且促使薄膜WERR減低。用於沉積的低壓會使自由基之間的平均自由徑增加,其使得自由基淬滅(或重組)的情況減少。因此,相對於離子分壓,自由基分壓係較高的。由於自由基入射為各向同性而離子入射為各向異性,因此增加的自由基可得性使得側壁區域上的活化作用獲得改善。此為出乎意料的結果,因為通常預期較高壓力使得活性物種進入表面特徵部的可得性及穿透性增加。然而,吾人發現,較低壓力提供改良的側壁薄膜品質,其被認為係因自由基橫截面增加及自由基對離子之比例增加而造成。應理解,可使腔室壓力最佳化,使其為足夠高俾提供足夠濃度的可用物種以進行沉積/活化,並同時為足夠低以避免過量的自由基淬滅。Without being limited by any specific theory of operation, it is generally believed that under these pressure conditions, an increase in free radicals reaches the wafer surface (especially the surface in the high AR feature) and causes the thin film WERR to decrease. The low pressure used for deposition will increase the mean free diameter between radicals, which will reduce the quenching (or recombination) of radicals. Therefore, relative to the ion partial pressure, the radical partial pressure is higher. Since radical incidence is isotropic and ion incidence is anisotropic, the increased availability of radicals results in improved activation on the sidewall region. This is an unexpected result, as higher pressures are generally expected to increase the availability and penetration of active species into surface features. However, we have found that lower pressure provides improved sidewall film quality, which is believed to be caused by increased free radical cross-section and increased free radical to ion ratio. It should be understood that the chamber pressure can be optimized so that it is high enough to provide a sufficient concentration of available species for deposition/activation, and at the same time low enough to avoid excessive free radical quenching.

有鑑於前述內容,應理解,由依據本發明之實施例的ICP PEALD處理所提供的改良薄膜品質為諸如上述列舉者之情況下的裝置製造提供顯著優點。可在一給定溫度下獲得改良的薄膜品質,其提供較佳的裝置性能(例如電性、應力、壽命/耐久性)及良率。可將具有較低熱分解限制之相對便宜的前驅物擴展而產生先前難以獲得的較高品質之薄膜。可在較低溫度及/或較低RF時間下獲得與現有CCP處理同等的薄膜品質,從而滿足較嚴格的熱預算及/或使產能增加。In view of the foregoing, it should be understood that the improved film quality provided by the ICP PEALD process according to the embodiments of the present invention provides significant advantages for device manufacturing under conditions such as those listed above. Improved film quality can be obtained at a given temperature, which provides better device performance (such as electrical properties, stress, life/durability) and yield. The relatively inexpensive precursors with lower thermal decomposition limits can be expanded to produce higher quality films that were previously difficult to obtain. The film quality equivalent to the existing CCP process can be obtained at a lower temperature and/or a lower RF time, thereby meeting a stricter thermal budget and/or increasing production capacity.

雖然已討論特定的含矽前驅物,但應理解,依據本發明之實施例的技術可應用在適用於PEALD的任何其他含矽前驅物。非限制性範例包含各種氯矽烷、碘矽烷、鹵代矽烷、胺基矽烷、及諸如下列之其他含矽分子之任一者:SiCl4 、SiH2 Cl2 、Si2 Cl6 、Si3 Cl8 、SiH3 Cl、SiH(N(CH3 )2 )3 (3DMAS, 三(二甲胺基) 矽烷)、SiH2 (NHt Bu)2 (BTBAS, 雙(叔丁胺基)矽烷)、C9 H29 N3 Si3 (DTDN-2H2)、C6 H17 NSi (DIPAS, 二(異丙基胺基)矽烷)、C9 H25 N3 Si (TIPAS, 三(異丙基胺基)矽烷)、C8 H22 N2 Si (BDEAS, 雙(二乙胺基)矽烷)、SiH4 、(SiH3 )3 N、(SiH3 )3 N (TSA, 三甲矽烷基胺)、(SiH3 )4 Si (NPS, 新戊矽烷)。Although specific silicon-containing precursors have been discussed, it should be understood that the techniques according to embodiments of the present invention can be applied to any other silicon-containing precursors suitable for PEALD. Non-limiting examples include various chlorosilanes, iodosilanes, halogenated silanes, aminosilanes, and any of other silicon-containing molecules such as SiCl 4 , SiH 2 Cl 2 , Si 2 Cl 6 , Si 3 Cl 8 , SiH 3 Cl, SiH(N(CH 3 ) 2 ) 3 (3DMAS, tris(dimethylamino) silane), SiH 2 (NH t Bu) 2 (BTBAS, bis(tert-butylamino) silane), C 9 H 29 N 3 Si 3 (DTDN-2H2), C 6 H 17 NSi (DIPAS, bis(isopropylamino) silane), C 9 H 25 N 3 Si (TIPAS, tris (isopropylamino) silane) , C 8 H 22 N 2 Si (BDEAS, bis(diethylamino)silane), SiH 4 , (SiH 3 ) 3 N, (SiH 3 ) 3 N (TSA, trimethylsilylamine), (SiH 3 ) 4 Si (NPS, neopentasiloxane).

此外,雖然本文討論含矽前驅物氧化以形成二氧化矽薄膜,但應理解,在其他實施例中,可將本發明之技術用於產生氮化矽(SiN)薄膜。在某些實施例中,可將任何的當前揭示之含矽前驅物使用於PEALD處理以保形地沉積SiN薄膜。在此等實施例中,轉化步驟為一氮化步驟,其需要使含氮氣體(例如N2 、N2 /H2 混合物、NH3 )流過基板表面並從含氮氣體感應式地產生氮電漿。應理解,可將本文所述之任何適用的製程參數(包括(但不限於)溫度、RF功率、RF功率之持續時間等)應用於藉由依據本發明之實施例的PEALD技術所進行的SiN薄膜沉積。In addition, although this article discusses the oxidation of silicon-containing precursors to form silicon dioxide films, it should be understood that in other embodiments, the technology of the present invention can be used to produce silicon nitride (SiN) films. In some embodiments, any of the currently disclosed silicon-containing precursors can be used in PEALD processing to conformally deposit SiN films. In these embodiments, the conversion step is a nitridation step, which requires a nitrogen-containing gas (such as N 2 , N 2 /H 2 mixture, NH 3 ) to flow across the surface of the substrate and inductively generate nitrogen from the nitrogen-containing gas Plasma. It should be understood that any applicable process parameters described herein (including (but not limited to) temperature, RF power, duration of RF power, etc.) can be applied to SiN performed by PEALD technology according to embodiments of the present invention. Film deposition.

依據本發明之實施例,圖4顯示用於執行電漿輔助原子層沉積的方法。在方法操作400,將基板接收於處理腔室中,以進行PEALD。在某些實施例中,進行初始的製備操作,例如利用惰性氣體將處理腔室排淨、將基板及/或腔室加熱至一預定溫度、在腔室中建立一預定壓力等。在方法操作402,使前驅物流入處理腔室中並流過基板表面。前驅物吸附於基板表面上。在方法操作404,進行排淨操作(例如利用惰性氣體),以從腔室中移除過量前驅物及/或副產物。According to an embodiment of the present invention, FIG. 4 shows a method for performing plasma-assisted atomic layer deposition. In method operation 400, the substrate is received in a processing chamber for PEALD. In some embodiments, initial preparation operations are performed, such as purging the processing chamber with inert gas, heating the substrate and/or the chamber to a predetermined temperature, and establishing a predetermined pressure in the chamber. At method operation 402, the precursor is flowed into the processing chamber and across the surface of the substrate. The precursor is adsorbed on the surface of the substrate. In method operation 404, a purge operation (for example, using an inert gas) is performed to remove excess precursors and/or by-products from the chamber.

在方法操作406,使氧化劑(轉化氣體)流入處理腔室中並流過基板表面。在方法操作408,施加RF功率至RF天線(例如線圈),其將RF功率感應式地耦合至腔室中,且具體而言,耦合至氧化劑,從而從氧化劑物種形成電漿。電漿與經吸附之前驅物進行反應,從而將經吸附之前驅物轉化為薄膜產物。在方法操作410,進行另一排淨操作(例如利用惰性氣體),以從處理腔室中移除副產物及其他物種。At method operation 406, the oxidant (converted gas) is flowed into the processing chamber and across the surface of the substrate. At method operation 408, RF power is applied to an RF antenna (e.g., a coil), which inductively couples the RF power into the chamber, and specifically, to the oxidant, thereby forming plasma from the oxidant species. The plasma reacts with the adsorbed precursor to convert the adsorbed precursor into a thin film product. In method operation 410, another purge operation (for example, using an inert gas) is performed to remove by-products and other species from the processing chamber.

在方法操作412,判定是否已達到薄膜產物之期望厚度,例如,藉由判定是否已進行預定數量的PEALD處理循環。若為否,則該方法回到方法操作402以再次進行PEALD循環。若為是,則該方法在操作414結束。In method operation 412, it is determined whether the desired thickness of the thin film product has been reached, for example, by determining whether a predetermined number of PEALD processing cycles have been performed. If not, the method returns to method operation 402 to perform the PEALD cycle again. If so, the method ends at operation 414.

依據本發明之實施例,圖5為一圖表,其概念性顯示利用CCP與ICP所進行之PEALD處理的薄膜密度與溫度之關係。所顯示之曲線表示利用CCP與ICP所沉積之PEALD薄膜隨溫度而變化的薄膜密度。因此該等曲線說明了由ICP所提供之優於CCP處理的薄膜品質改良及優點。According to an embodiment of the present invention, FIG. 5 is a graph that conceptually shows the relationship between the film density and temperature of the PEALD process performed by CCP and ICP. The curve shown represents the film density of PEALD films deposited by CCP and ICP as a function of temperature. Therefore, these curves illustrate the film quality improvement and advantages provided by ICP over CCP processing.

例如,在溫度T1 下,利用CCP PEALD處理所沉積之薄膜提供具有密度D1 的薄膜。在相同的溫度T1 下,利用ICP PEALD處理(利用相同的前驅物)所沉積之薄膜具有大於D1 的密度D2 。因此,ICP處理可在給定溫度下提供改良的薄膜密度。For example, at a temperature T 1, to provide a film having a film density D 1 by the CCP PEALD deposition processing. At the same temperature T 1 , the film deposited by the ICP PEALD process (using the same precursor) has a density D 2 greater than D 1 . Therefore, ICP processing can provide improved film density at a given temperature.

在某些實施例中,可在明顯更高的溫度T2 下利用CCP處理對前驅物進行處理,俾達到薄膜密度D2 。然而,在此情況下,ICP處理能夠提供具有同等密度但在明顯降低的溫度(T1 )下獲得的薄膜,從而減少裝置熱暴露。在某些情況下,溫度T2 可能超過欲加工之給定裝置的熱預算要求,因此,當亦要求品質水準(密度D2 )時,該前驅物似乎無法用於利用CCP處理所進行的此裝置之加工。然而,藉由替換為ICP處理,可使用相同前驅物但在明顯降低的溫度下達到所需的薄膜密度水準,俾滿足熱預算要求。In certain embodiments, the precursor can be processed by CCP treatment at a significantly higher temperature T 2 to achieve the film density D 2 . However, in this case, the ICP treatment can provide a thin film with the same density but obtained at a significantly lower temperature (T 1 ), thereby reducing the thermal exposure of the device. In some cases, the temperature T 2 may exceed the thermal budget requirements of a given device to be processed. Therefore, when the quality level (density D 2 ) is also required, the precursor does not seem to be used for the CCP process. Processing of the device. However, by replacing the ICP process, the same precursor can be used but the required film density level can be reached at a significantly lower temperature to meet the thermal budget requirements.

在某些實施例中,溫度T2 可為前驅物的近似熱分解溫度。因此,無法藉由進一步提高處理溫度而達成薄膜密度之進一步改良。然而,藉由使用ICP PEALD處理,可使用相同的前驅物以達到更高的薄膜密度D3 。因此,使用ICP使得前驅物的薄膜品質狀況擴展至先前在前驅物之熱分解溫度限制下可達成之範圍之外。In some embodiments, the temperature T 2 may be the approximate thermal decomposition temperature of the precursor. Therefore, it is impossible to further improve the film density by further increasing the processing temperature. However, by using ICP PEALD treatment, the same precursor can be used to achieve a higher film density D 3 . Therefore, the use of ICP expands the quality of the precursor film beyond the range previously achievable under the limitation of the thermal decomposition temperature of the precursor.

可在感應耦合式電漿(ICP)系統中執行本文所述之各種實施例。參照圖6,例示性ICP沉積系統或設備可包含下列各者:腔室1601,其具有氣體注射器/噴淋頭/噴嘴1603,用以分配氣體(1605、1607、1609) (例如,前驅物、氧化劑、及排淨氣體)或其他化學品至腔室1601中;腔室壁1611;夾頭1613,用以固持待處理之基板或晶圓1615,夾頭1613可包含用於夾持和去夾持晶圓的靜電電極。將夾頭1613加熱以進行熱控制,從而能夠將基板1615加熱至期望溫度。在某些實施例中,可利用RF電源1617對夾頭1613進行充電,以提供依據本發明之實施例的偏壓。The various embodiments described herein can be implemented in an inductively coupled plasma (ICP) system. 6, an exemplary ICP deposition system or apparatus may include the following: a chamber 1601, which has a gas injector/sprinkler/nozzle 1603 for distributing gas (1605, 1607, 1609) (eg, precursors, Oxidant, and exhaust gas) or other chemicals into the chamber 1601; the chamber wall 1611; the chuck 1613, used to hold the substrate or wafer to be processed 1615, the chuck 1613 may include clamping and de-clamping Electrostatic electrode holding wafer. The chuck 1613 is heated for thermal control, so that the substrate 1615 can be heated to a desired temperature. In some embodiments, the RF power supply 1617 can be used to charge the chuck 1613 to provide a bias voltage according to embodiments of the present invention.

RF電源1619係配置以供應功率至設置於介電窗1623 上方的RF天線/線圈1621,以在基板1615上方的處理空間中產生電漿1625。在某些實施例中,將腔室壁加熱以支持熱管控及效率。真空源1627提供真空以將氣體從腔室1601中排空。該系統或設備可包含系統控制器1629,用以控制腔室或設備的某些或所有操作,例如調制腔室壓力、惰性氣體流動、電漿功率、電漿頻率、反應氣體流動(例如,前驅物、氧化劑等)、偏壓功率、溫度、真空設定、及其他製程條件。The RF power source 1619 is configured to supply power to the RF antenna/coil 1621 disposed above the dielectric window 1623 to generate plasma 1625 in the processing space above the substrate 1615. In some embodiments, the chamber walls are heated to support heat management and efficiency. The vacuum source 1627 provides vacuum to evacuate the gas from the chamber 1601. The system or equipment may include a system controller 1629 to control some or all operations of the chamber or equipment, such as modulating the chamber pressure, inert gas flow, plasma power, plasma frequency, reactive gas flow (for example, precursor Materials, oxidants, etc.), bias power, temperature, vacuum settings, and other process conditions.

在某些實施例中,系統/設備可包含多於一個的腔室以用於處理基板。In some embodiments, the system/device may include more than one chamber for processing substrates.

為了產能之目的,ALD系統通常使用小容積腔室,其可被快速地填充和排淨。然而,ICP反應器往往具有明顯較大的容積。因此,存在以下問題:如何在相對大容積之系統中促使用於ALD之氣體快速切換。一種技術為持續將非處理容積空間排淨,因此在ALD循環之排淨操作期間僅需要將在晶圓/基板正上方的處理容積空間有效排淨。可藉由氣簾將處理容積與非處理容積空間分隔開。此外,可利用快速氣體交換,調制氣體流量及壓力以加快氣體之輸送和從處理容積中去除。For productivity purposes, ALD systems usually use small-volume chambers that can be filled and drained quickly. However, ICP reactors tend to have significantly larger volumes. Therefore, there is the following problem: how to promote rapid gas switching for ALD in a relatively large volume system. One technique is to continuously drain the non-processing volume space, so during the drain operation of the ALD cycle, only the processing volume space directly above the wafer/substrate needs to be effectively drained. The processing volume can be separated from the non-processing volume space by an air curtain. In addition, rapid gas exchange can be used to modulate gas flow and pressure to accelerate gas delivery and removal from the processing volume.

依據本發明之實施例,圖7顯示用以控制上述系統的控制模組1700。例如,控制模組1700可包含處理器、記憶體及一或更多介面。可採用控制模組1700以部份基於所感測之數值而控制系統中之裝置。僅舉例而言,基於所感測之數值及其他控制參數,控制模組1700可控制一或更多之閥1702、濾波加熱器1704、泵浦1706、及其他裝置1708。控制模組1700,僅舉例而言,自壓力測壓器1710、流量計1712、溫度感測器1714、及/或其他感測器1716接收所感測之數值。亦可採用控制模組1700以在反應物輸送及電漿處理期間控制處理條件。控制模組1700通常包含一或更多之記憶體裝置及一或更多之處理器。According to an embodiment of the present invention, FIG. 7 shows a control module 1700 for controlling the above-mentioned system. For example, the control module 1700 may include a processor, a memory, and one or more interfaces. The control module 1700 can be used to control devices in the system based in part on the sensed values. For example only, based on the sensed values and other control parameters, the control module 1700 can control one or more valves 1702, filter heaters 1704, pumps 1706, and other devices 1708. The control module 1700, for example only, receives the sensed value from the pressure gauge 1710, the flow meter 1712, the temperature sensor 1714, and/or other sensors 1716. The control module 1700 can also be used to control the processing conditions during reactant transport and plasma processing. The control module 1700 usually includes one or more memory devices and one or more processors.

控制模組1700可控制反應物輸送系統及電漿處理設備之作業。控制模組1700執行電腦程式,包含用於控制以下者之指令集:特定處理的處理時序、傳送系統溫度、過濾器兩端之壓差、閥之位置、氣體之混合、腔室壓力、腔室溫度、晶圓溫度、RF功率位準、晶圓ESC或底座位置、以及其他參數。控制模組1700亦可監視壓差及自動切換從一或更多路徑到一或更多其他路徑的蒸氣反應物輸送。儲存於記憶體裝置、與控制模組1700相關的其他電腦程式可於一些實施例中被採用。The control module 1700 can control the operation of the reactant transport system and the plasma processing equipment. The control module 1700 executes a computer program, including a set of instructions for controlling the following: the processing sequence of a specific process, the temperature of the transmission system, the pressure difference between the two ends of the filter, the position of the valve, the mixing of gas, the chamber pressure, the chamber Temperature, wafer temperature, RF power level, wafer ESC or base position, and other parameters. The control module 1700 can also monitor the pressure difference and automatically switch the vapor reactant delivery from one or more paths to one or more other paths. Other computer programs stored in the memory device and related to the control module 1700 may be used in some embodiments.

通常會存在與控制模組1700相關的使用者介面。該使用者介面可包含顯示器1718(例如顯示螢幕、及/或設備及/或處理條件的圖形軟體顯示器) 、以及使用者輸入裝置1720,例如指向裝置、鍵盤、觸控螢幕、傳聲器等。There is usually a user interface related to the control module 1700. The user interface may include a display 1718 (such as a display screen, and/or a graphical software display of equipment and/or processing conditions), and a user input device 1720, such as a pointing device, a keyboard, a touch screen, a microphone, etc.

用以控制處理序列中的反應物之輸送、電漿處理、及其他處理之電腦程式可以任何習用電腦可讀取程式語言寫入:例如,組合語言、C、C++、Pascal、Fortran或其他。藉由處理器執行編譯目的碼或指令碼以執行程式中所識別之工作。The computer program used to control the transportation of reactants, plasma processing, and other processing in the processing sequence can be written in any conventional computer readable programming language: for example, assembly language, C, C++, Pascal, Fortran or others. The processor executes the compiled object code or instruction code to perform the tasks identified in the program.

控制模組參數係關於處理條件,例如過濾器之壓差、處理氣體之成分及流動速率、溫度、壓力、電漿條件(例如RF功率位準及RF頻率)、冷卻氣體壓力、以及腔室壁溫度。Control module parameters are related to processing conditions, such as filter pressure difference, processing gas composition and flow rate, temperature, pressure, plasma conditions (such as RF power level and RF frequency), cooling gas pressure, and chamber wall temperature.

系統軟體可以許多不同方式設計或配置。例如,可寫入許多腔室元件之子程式或控制目的,以控制必要的腔室元件之操作,俾實現創造性的沉積處理。為此目的之程式或程式區段之範例包含基板定位碼、處理氣體控制碼、壓力控制碼、加熱器控制碼、以及電漿控制碼。System software can be designed or configured in many different ways. For example, many sub-programs or control purposes of the chamber elements can be written to control the operation of the necessary chamber elements to realize creative deposition processing. Examples of programs or program sections for this purpose include substrate positioning codes, process gas control codes, pressure control codes, heater control codes, and plasma control codes.

雖然上述之實施例為了清楚理解的目的已以一些細節加以描述,但顯然地,某些改變與修飾可在所揭示之實施例的範疇內加以實施。應注意,存在許多實行本文實施例之處理、系統、及設備的替代方式。因此,本文之實施例係被視為說明性而非限制性的,且該等實施例非受限於本文所提供之細節。Although the above-mentioned embodiments have been described in some details for the purpose of clear understanding, obviously, certain changes and modifications can be implemented within the scope of the disclosed embodiments. It should be noted that there are many alternative ways of implementing the processes, systems, and devices of the embodiments herein. Therefore, the embodiments herein are regarded as illustrative rather than restrictive, and the embodiments are not limited to the details provided herein.

100:曲線 102:曲線 104:曲線 106:曲線 300:參考符號 302:參考符號 400:方法操作 402:方法操作 404:方法操作 406:方法操作 408:方法操作 410:方法操作 412:方法操作 414:方法操作 1601:腔室 1603:氣體注射器/噴淋頭/噴嘴 1605:氣體 1607:氣體 1609:氣體 1611:腔室壁 1613:夾頭 1615:基板 1617:RF電源 1619:RF電源 1621:RF天線/線圈 1623:介電窗 1625:電漿 1627:真空源 1629:系統控制器 1700:控制模組 1702:閥 1704:濾波加熱器 1706:泵浦 1708:其他裝置 1710:壓力測壓器 1712:流量計 1714:溫度感測器 1716:其他感測器 1718:顯示器 1720:輸入裝置100: curve 102: Curve 104: Curve 106: Curve 300: Reference symbol 302: Reference symbol 400: Method operation 402: method operation 404: Method operation 406: method operation 408: method operation 410: method operation 412: method operation 414: method operation 1601: Chamber 1603: Gas injector/sprinkler/nozzle 1605: Gas 1607: Gas 1609: Gas 1611: chamber wall 1613: Chuck 1615: substrate 1617: RF power supply 1619: RF power supply 1621: RF antenna/coil 1623: Dielectric window 1625: Plasma 1627: vacuum source 1629: System Controller 1700: control module 1702: Valve 1704: filter heater 1706: pump 1708: other devices 1710: Pressure Manometer 1712: Flowmeter 1714: temperature sensor 1716: other sensors 1718: display 1720: input device

依據本發明之實施例,圖1為顯示某些SiO2 ALD前驅物之隨溫度而變化的沉積速率之圖表。According to an embodiment of the present invention, FIG. 1 is a graph showing the deposition rate of certain SiO 2 ALD precursors as a function of temperature.

依據本發明之實施例,圖2為顯示溫度及RF能量對薄膜品質(以WERR進行衡量)的影響之圖表。According to an embodiment of the present invention, FIG. 2 is a graph showing the influence of temperature and RF energy on film quality (measured by WERR).

依據本發明之實施例,圖3為顯示利用ICP PEALD及CCP PEALD處理所進行之SiO2 沉積結果的圖表。According to an embodiment of the present invention, FIG. 3 is a graph showing the results of SiO 2 deposition by ICP PEALD and CCP PEALD treatments.

依據本發明之實施例,圖4顯示用於執行電漿輔助原子層沉積的方法。According to an embodiment of the present invention, FIG. 4 shows a method for performing plasma-assisted atomic layer deposition.

依據本發明之實施例,圖5為一圖表,其概念性顯示利用CCP與ICP所進行之PEALD處理的薄膜密度與溫度之關係。According to an embodiment of the present invention, FIG. 5 is a graph that conceptually shows the relationship between the film density and temperature of the PEALD process performed by CCP and ICP.

依據本發明之實施例,圖6顯示一例示性ICP沉積系統。According to an embodiment of the present invention, FIG. 6 shows an exemplary ICP deposition system.

依據本發明之實施例,圖7顯示用以控制上述系統的控制模組。According to an embodiment of the present invention, FIG. 7 shows a control module for controlling the above-mentioned system.

400:方法操作 400: Method operation

402:方法操作 402: method operation

404:方法操作 404: Method operation

406:方法操作 406: method operation

408:方法操作 408: method operation

410:方法操作 410: method operation

412:方法操作 412: method operation

414:方法操作 414: method operation

Claims (24)

一種用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,包含: 使一前驅物流入基板之表面上方的一處理容積中,該前驅物係配置以吸附於該基板之該表面上; 執行該處理容積之第一排淨處理; 使一氧化劑氣體流入在該基板之該表面上方的該處理容積中; 施加感應RF功率至該處理容積中的該氧化劑氣體,以在該基板上方產生電漿,施加感應RF功率之操作係在零施加偏壓條件下進行,該電漿係配置以將吸附於該基板之該表面上的該前驅物轉化為薄膜產物; 執行該處理容積之第二排淨處理; 重複進行使該前驅物流動、執行該第一排淨處理、使該氧化劑氣體流動、施加RF功率、及執行該第二排淨處理之操作,直到在該基板之該表面上沉積預定厚度的該薄膜產物為止。A method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate, including: Flowing a precursor into a processing volume above the surface of the substrate, the precursor being configured to be adsorbed on the surface of the substrate; Perform the first row net treatment of the treatment volume; Flowing an oxidant gas into the processing volume above the surface of the substrate; Applying induced RF power to the oxidant gas in the processing volume to generate plasma above the substrate. The operation of applying induced RF power is performed under zero applied bias conditions. The plasma is configured to be adsorbed on the substrate The precursor on the surface is converted into a thin film product; Perform the second row net treatment of the treatment volume; The operations of flowing the precursor, performing the first cleaning process, flowing the oxidant gas, applying RF power, and performing the second cleaning process are repeated until the predetermined thickness of the substrate is deposited on the surface of the substrate The film product so far. 如請求項1之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中所產生的該電漿在該基板之該表面處具有大約5 x 1010 至5 x 1012 個離子/cm3 之範圍內的密度。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as claimed in claim 1, wherein the generated plasma has approximately 5 x 10 10 to 5 x 10 12 ions at the surface of the substrate /cm 3 in the range of density. 如請求項1之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中在零施加偏壓條件下進行施加感應RF功率之操作使得該基板之該表面上所吸附的該前驅物之電漿轉化的各向同性效應增強。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as claimed in claim 1, wherein the operation of applying induced RF power is performed under a condition of zero applied bias so that the precursor adsorbed on the surface of the substrate The isotropic effect of the plasma transformation of substances is enhanced. 如請求項3之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中該基板之該表面包含一或更多特徵部。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as claimed in claim 3, wherein the surface of the substrate includes one or more features. 如請求項4之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中該等特徵部包含一或更多高深寬比特徵部,其具有大於10比1的高度對寬度比。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate according to claim 4, wherein the features include one or more high aspect ratio features having a height to width ratio greater than 10 to 1 . 如請求項1之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中該薄膜產物為二氧化矽。As in claim 1, the method for performing plasma assisted atomic layer deposition (PEALD) on a substrate, wherein the thin film product is silicon dioxide. 如請求項6之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中該前驅物為DIPAS、SAM24、或BTBAS。As claimed in claim 6, the method for performing plasma assisted atomic layer deposition (PEALD) on a substrate, wherein the precursor is DIPAS, SAM24, or BTBAS. 如請求項6之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中施加感應RF功率之操作所提供的該薄膜產物具有在約小於2之範圍內的相對於熱氧化物之晶圓蝕刻率。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as claimed in claim 6, wherein the film product provided by the operation of applying inductive RF power has a range of about less than 2 relative to thermal oxide The wafer etching rate. 如請求項6之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中施加感應RF功率之操作所提供的該薄膜產物具有提高的密度。The method for performing plasma assisted atomic layer deposition (PEALD) on a substrate as in claim 6, wherein the thin film product provided by the operation of applying induced RF power has an increased density. 如請求項1之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中施加感應RF功率之操作所提供的該薄膜產物具有增進的理想配比。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as in claim 1, wherein the operation of applying induced RF power provides the thin film product with an improved stoichiometric ratio. 如請求項1之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中該方法係在約攝氏300至750度之範圍內的溫度下執行。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as claimed in claim 1, wherein the method is performed at a temperature in the range of about 300 to 750 degrees Celsius. 如請求項1之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中執行施加感應RF功率之操作達約0.5至3秒之範圍內的持續時間。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as in claim 1, wherein the operation of applying induced RF power is performed for a duration in the range of about 0.5 to 3 seconds. 如請求項1之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中在約50至1500瓦之範圍內的功率下執行施加感應RF功率之操作。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as in claim 1, wherein the operation of applying induced RF power is performed at a power in the range of about 50 to 1500 watts. 如請求項1之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中該方法係在約100至500 mTorr之範圍內的壓力下執行。The method for performing plasma assisted atomic layer deposition (PEALD) on a substrate as claimed in claim 1, wherein the method is performed at a pressure in the range of about 100 to 500 mTorr. 一種用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,包含: 使一含矽前驅物流入基板之表面上方的一處理容積中,該含矽前驅物係配置以吸附於該基板之該表面上; 執行該處理容積之第一排淨處理; 使一氧化劑氣體流入在該基板之該表面上方的該處理容積中; 感應式地傳遞功率通過介電窗至該處理容積中的該氧化劑氣體,以在該基板上方產生電漿,該電漿係配置以將吸附於該基板之該表面上的該含矽前驅物轉化為二氧化矽薄膜產物; 執行該處理容積之第二排淨處理; 重複進行使該含矽前驅物流動、執行該第一排淨處理、使該氧化劑氣體流動、感應式地傳遞功率、及執行該第二排淨處理之操作,直到在該基板之該表面上沉積預定厚度的該二氧化矽薄膜產物為止。A method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate, including: Flowing a silicon-containing precursor into a processing volume above the surface of the substrate, the silicon-containing precursor being arranged to be adsorbed on the surface of the substrate; Perform the first row net treatment of the treatment volume; Flowing an oxidant gas into the processing volume above the surface of the substrate; Inductively transfer power through the dielectric window to the oxidant gas in the processing volume to generate a plasma above the substrate, the plasma being configured to convert the silicon-containing precursor adsorbed on the surface of the substrate It is a product of silicon dioxide thin film; Perform the second row net treatment of the treatment volume; Repeat the operations of flowing the silicon-containing precursor, performing the first cleaning process, flowing the oxidant gas, inductively transferring power, and performing the second cleaning process until it is deposited on the surface of the substrate The predetermined thickness of the silicon dioxide film is produced. 如請求項15之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中所產生的該電漿在該基板之該表面處具有大約5 x 1010 至5 x 1012 個離子/cm3 之範圍內的密度。The method for performing plasma assisted atomic layer deposition (PEALD) on a substrate as claimed in claim 15, wherein the generated plasma has approximately 5 x 10 10 to 5 x 10 12 ions at the surface of the substrate /cm 3 in the range of density. 如請求項15之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中感應式地傳遞功率之操作係在實質零施加偏壓條件下進行。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as in claim 15, wherein the operation of inductively transferring power is performed under substantially zero applied bias conditions. 如請求項15之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中該方法係在約攝氏300至750度之範圍內的溫度下執行。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as claimed in claim 15, wherein the method is performed at a temperature in the range of approximately 300 to 750 degrees Celsius. 如請求項15之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中該方法係在約100至500 mTorr之範圍內的壓力下執行。The method for performing plasma assisted atomic layer deposition (PEALD) on a substrate as claimed in claim 15, wherein the method is performed under a pressure in the range of about 100 to 500 mTorr. 一種用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,包含: 使一含矽前驅物流入基板之表面上方的一處理容積中,該含矽前驅物係配置以吸附於該基板之該表面上; 執行該處理容積之第一排淨處理; 使氮化劑氣體流入在該基板之該表面上方的該處理容積中; 感應式地傳遞功率通過介電窗至該處理容積中的該氮化劑氣體,以在該基板上方產生電漿,該電漿係配置以將吸附於該基板之該表面上的該含矽前驅物轉化為氮化矽薄膜產物; 執行該處理容積之第二排淨處理; 重複進行使該含矽前驅物流動、執行該第一排淨處理、使該氮化劑氣體流動、感應式地傳遞功率、及執行該第二排淨處理之操作,直到在該基板之該表面上沉積預定厚度的該氮化矽薄膜產物為止。A method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate, including: Flowing a silicon-containing precursor into a processing volume above the surface of the substrate, the silicon-containing precursor being configured to be adsorbed on the surface of the substrate; Perform the first row net treatment of the treatment volume; Flowing nitriding agent gas into the processing volume above the surface of the substrate; Inductively transfer power through the dielectric window to the nitriding agent gas in the processing volume to generate a plasma above the substrate, and the plasma is configured to adsorb the silicon-containing precursor on the surface of the substrate The product is converted into silicon nitride film; Perform the second row net treatment of the treatment volume; Repeat the operations of flowing the silicon-containing precursor, performing the first cleaning process, flowing the nitriding agent gas, inductively transferring power, and performing the second cleaning process until the surface of the substrate The silicon nitride film product of a predetermined thickness is deposited on it. 如請求項20之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中所產生的該電漿在該基板之該表面處具有大約5 x 1010 至5 x 1012 個離子/cm3 之範圍內的密度。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as claimed in claim 20, wherein the generated plasma has approximately 5 x 10 10 to 5 x 10 12 ions at the surface of the substrate /cm 3 in the range of density. 如請求項20之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中感應式地傳遞功率之操作係在實質零施加偏壓條件下進行。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as in claim 20, wherein the operation of inductively transferring power is performed under the condition of substantially zero applied bias voltage. 如請求項20之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中該方法係在約攝氏300至750度之範圍內的溫度下執行。The method for performing plasma-assisted atomic layer deposition (PEALD) on a substrate as in claim 20, wherein the method is performed at a temperature in the range of about 300 to 750 degrees Celsius. 如請求項20之用於在基板上執行電漿輔助原子層沉積(PEALD)的方法,其中該方法係在約100至500 mTorr之範圍內的壓力下執行。The method of claim 20 for performing plasma-assisted atomic layer deposition (PEALD) on a substrate, wherein the method is performed under a pressure in the range of about 100 to 500 mTorr.
TW108139734A 2018-11-01 2019-11-01 Method for generating high quality plasma for enhanced atomic layer deposition TW202034380A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201862754522P 2018-11-01 2018-11-01
US62/754,522 2018-11-01

Publications (1)

Publication Number Publication Date
TW202034380A true TW202034380A (en) 2020-09-16

Family

ID=70464740

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108139734A TW202034380A (en) 2018-11-01 2019-11-01 Method for generating high quality plasma for enhanced atomic layer deposition

Country Status (2)

Country Link
TW (1) TW202034380A (en)
WO (1) WO2020093013A1 (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US12040181B2 (en) 2019-05-01 2024-07-16 Lam Research Corporation Modulated atomic layer deposition

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4123428B2 (en) * 2001-11-30 2008-07-23 東京エレクトロン株式会社 Etching method
JP5466756B2 (en) * 2010-03-04 2014-04-09 東京エレクトロン株式会社 Plasma etching method, semiconductor device manufacturing method, and plasma etching apparatus
JP5675331B2 (en) * 2010-12-27 2015-02-25 東京エレクトロン株式会社 How to fill trench
SG2013083654A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates

Also Published As

Publication number Publication date
WO2020093013A1 (en) 2020-05-07

Similar Documents

Publication Publication Date Title
JP7158616B2 (en) SiN deposition
KR102694640B1 (en) Chamber undercoat preparation method for low temperature ald films
KR102357418B1 (en) Apparatuses and methods for depositing sic and sicn films via cross-metathesis reactions with organometallic co-reactants
CN108493152B (en) Method for creating an air gap
CN111247269B (en) Geometrically selective deposition of dielectric films
TWI714534B (en) Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ald
US10008428B2 (en) Methods for depositing films on sensitive substrates
JP6562629B2 (en) Plasma atomic layer deposition with pulsed plasma exposure
TWI780160B (en) Bottom-up growth of silicon oxide and silicon nitride using sequential deposition-etch-treat processing
TW202129053A (en) Method of topology-selective film formation of silicon oxide
TWI640469B (en) Soft landing nanolaminates for advanced patterning
TW202045771A (en) Method of forming an electronic structure, system for performing the method, and structure formed according to the method
TW201903190A (en) Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
KR20150037662A (en) Gapfill of variable aspect ratio features with a composite peald and pecvd method
JP7494209B2 (en) Tailored atomic layer deposition
TWI716432B (en) Method of densifying films in semiconductor device
CN114245832A (en) In-situ control of film properties during atomic layer deposition
US20150140833A1 (en) Method of depositing a low-temperature, no-damage hdp sic-like film with high wet etch resistance
CN115803474A (en) Conformal thermal CVD with controlled film properties and high deposition rates
TW202034380A (en) Method for generating high quality plasma for enhanced atomic layer deposition
US20230416909A1 (en) Method for formation of conformal ald sio2 films
TW202335080A (en) Methods of forming metal nitride films
JP2024085397A (en) Method and system for depositing boron nitride as film
TW202242177A (en) Method of depositing silicon nitride layer, semiconductor structure, and depositing system
TW202244313A (en) Batch curing chamber with gas distribution and individual pumping