CN101040371A - 用于形成多组分介电薄膜的直接液体注入系统和方法 - Google Patents

用于形成多组分介电薄膜的直接液体注入系统和方法 Download PDF

Info

Publication number
CN101040371A
CN101040371A CNA2005800352438A CN200580035243A CN101040371A CN 101040371 A CN101040371 A CN 101040371A CN A2005800352438 A CNA2005800352438 A CN A2005800352438A CN 200580035243 A CN200580035243 A CN 200580035243A CN 101040371 A CN101040371 A CN 101040371A
Authority
CN
China
Prior art keywords
precursor
process chamber
precursors
deposit
reactant
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800352438A
Other languages
English (en)
Inventor
先崎义秀
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASML US Inc
Aviza Technology Inc
Original Assignee
ASML US Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASML US Inc filed Critical ASML US Inc
Publication of CN101040371A publication Critical patent/CN101040371A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45546Atomic layer deposition [ALD] characterized by the apparatus specially adapted for a substrate stack in the ALD reactor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal

Abstract

本发明提供了用于原子层沉积(ALD)的方法和系统。在一些实施方案中,所提供的系统包括:至少一种直接液体注入系统,其适于将一个或多个沉积前体注入到一个或多个气化室;至少一种鼓泡器系统,其适于气化一个或多个沉积前体;以及处理室,其被连接到所述直接注入系统和所述鼓泡器系统,所述处理室适于从所述直接液体注入和鼓泡器系统中接收沉积前体,并且被调整来实施ALD处理。在另外一个替代的实施方案中,所述系统由两个分开的直接液体注入系统组成。

Description

用于形成多组分介电薄膜的直接液体注入系统和方法
相关应用的交叉引用
本申请要求于2004年8月16日提交的美国临时专利申请顺序号60/602,189的权益和优先权,其公开这里引入作为参考。
技术领域
本发明总的来说涉及用于在半导体应用中形成薄膜的系统和方法。本发明尤其涉及在使用混合气化前体在衬底上制造多组分薄膜的系统和方法。
背景技术
在微电子学复杂度增加和朝向微型化迈进的同时,每块集成电路的晶体管数也已经成指数地增长,并且有望满足更快、更小和更强大的电子系统的要求。然而,尽管传统的基于硅的晶体管几何图形达到了临界点,其中,二氧化硅栅极电介质仅仅只有几个原子层厚,但是由于电流泄漏以及功率消耗的增加,电子隧道效应(tunneling)将会变得更普遍。因此,非常希望有一种替代的电介质,其比二氧化硅具有更高的电容率(permittivity)或介电常数,并且能够预防电流的隧道效应或泄漏。在替代二氧化硅的最有前途的候选者中,有包括氧化铪、氧化锆和氧化钽的一些金属氧化物。
不幸的是,这些材料与二氧化硅不同,其在硅上的化学和热学特性不稳定,而在金属电介质和硅衬底之间的交界处形成瑕疵和电荷陷阱(trap)。这些电荷陷阱和瑕疵与在所述栅极处施加的电压相互作用,从而扰乱了晶体管的性能和可靠性。为了限制交界处电荷陷阱和瑕疵的形成,在电介质和硅衬底之间沉积二氧化硅的界面层,二氧化硅界面将所述电介质与硅衬底缓冲,但是二氧化硅界面可能不与电介质的表面特性兼容。因此,需要制造超薄的高k电介质的界面,其可以改善电介质和硅衬底的表面特性和化学特性,同时最小化等价的物理氧化物厚度。
现有的用于制造诸如化学气化沉积(CVD)之类的薄膜的沉积技术越来越不能够满足先进的薄膜的要求。尽管可以改进CVD处理提供带有改善的阶梯覆盖的保角(conformal)膜,但是CVD处理常常要求高的处理温度。例如,制作高k栅极电介质的障碍之一是在CVD处理期间界面二氧化硅层的形成。在CVD中的气相反应导致粒子产生。另一个障碍是在沉积超薄膜中现有技术CVD处理对在硅衬底上的高k栅极电介质的限制。
对传统的沉积极薄膜的CVD处理的替代是原子层沉积(ALD)。ALD具有超过传统CVD的几个优点。ALD可以以与朝向更低温度的工业趋势兼容的相当低的温度来进行,并且可以产生保角的薄膜层。现有的使用ALD处理沉积用于沉积多组分膜(如HfxSiyO2(x+y=1))的方法使用顺序气化沉积方法沉积HfO2和SiO2的层合薄膜(laminate film)。也就是说,前体的化学物没有被混合,并且替代地包含Hf的前体和包含Si的前体相互独立和顺序地分别脉动到用于形成HfO2和SiO2的薄片状的各层的室,事实上,禁止任何前体的混合,并且在脉动第二前体之前清除所述室。一旦层状薄膜被形成到所想要的厚度,则所述膜被退火,以试图在整个膜中达到更连续的组成。这种构建不同层状膜的各层的方法,由于所述多界面要求高温热退火以修补所述陷阱,而在所述膜中导致许多电子陷阱。高温热退火步骤的添加增加了制造半导体的成本和时间,而且可以导致各元件从晶片上先前形成的各层移出。此外,用该分层方法难以控制多组分膜的化学计量的组成。用传统的一种化学顺序前体脉动方法(如分层方法)无法容易地控制膜HfxSiyO2的介电常数(k)、结晶温度和折射率。而且,使用传统的顺序脉冲和一次清除一种化学前体形成所期望厚度的膜所要求的循环次数是不实际的,并且对未来IC制造要求太多时间。
使用混合前体制造多组分膜的尝试已经被局限于传统的CVD方法。例如,授予Senzaki等人的美国专利No.6,537,613和6,238,734(‘613和‘734专利)一般性地公开了用于生成包括金属化合物和非金属化合物的成分梯度的系统和方法。存在几个与在该‘613和‘734专利中描述的方法相关联的缺陷。现有技术的主要缺点之一是在膜成分控制方面的限制。此外,注入到室中的是液体混合物。于是,如果液体混合物没有完全被混合,则具有不均匀成分和梯度的膜将会形成在所述衬底上。此外,即使提供足够量的样本,因为每种前体具有独特的沸点、气化压力和挥发度,也保证不了该混合物均匀地气化。而且,如果所述前体之间的沸点差异相当大,则一种前体可能在第二形成的粒子或污染物的沸点处分解。总而言之,要么所述前体还没有充分被混合,而导致非均匀的膜组成,要么两种蒸气的混合导致气相的预反应,而导致粒子或污染物的形成沉积在晶片上。
因此,需要进一步开发用于制造多组分膜的方法,尤其是当使用直接液体注入以传递前体到室以使用ALD处理形成多组分膜。
发明内容
总的来说,本发明人已经公开了一种方法,用于提供混合被气化的前体,使得被气化的前体的混合物在原子层沉积(ALD)处理的单个沉积或脉冲步骤期间被一起在室中提供,以形成多组分膜。所述被气化的前体每个包括:至少一种不同的化学组分,并且这样的不同的组分将形成单层以产生多组分膜。该申请与在2004年4月21日提交的顺序号10/869,7791的美国专利申请有关,其整体通过引用在此并入。这样一种方法与现有技术其中被气化的前体被分开脉动到在ALD处理中的室,以便形成包含组分中只有一种的分开的单层)不同。
本发明的一个方面提供了这样的系统和方法,用于通过将被气化的前体一起混合然后注入或共同注入被气化的前体,使得前体的混合物在ALD室中存在,从而制造多组分电介质膜。在此使用的术语“多组分”膜是指所述膜包含两或多种金属或非金属元素。各种的多组分膜可以通过本发明来形成,包括(但不限于):金属、金属合金、混合金属氧化物、硅酸盐、氮化物(nitride)、氮氧化物(oxynitride)及其混合物。
在本发明的一个实施方案中,提供了一种方法,用于通过原子层沉积在衬底表面形成薄膜,其特征在于:将两或多种被气化的前体传送到处理室,以便在所述衬底的表面形成单层,每种前体包含至少一种不同的化学组分(典型地为金属或非金属元素),并且所述单层包含分开的化学组分中的每个组分。总的来说,术语共同-注入被用于指具有至少一种不同化学组分的两或多种前体在室中存在,使得产生具有多组分的膜。这可以通过一起注入或传送气态或液态(悬浮微粒)的前体到处理室或在所述处理室中混合前体来实现。优选地在引入处理室之前混合前体,但不作要求。
在另一个方面,本发明提供了一种用于形成多组分膜的系统。在一个实施方案中,所述系统一般来说包括:两或多个气化器,每个气化器被连接到总导管。所述总导管被配置以便混合由气化器产生的被气化的前体。所述总导管被连接到去往处理室的入口,并且通过该入口将混合的前体注入到所述室。在一个实施方案中,所述入口包括:注入器,如莲蓬头注入器。可能在注入器而不是在总导管中混合所述前体。
在本发明的另一个方面,提供了这样的系统和方法,其中,处理室用这样的方法配置,以致在单个衬底上实施所述沉积。或者,提供这样的系统和方法,其中用这样的方法配置所述处理室,使得一般在数量为1和200个衬底之间的多个衬底上实施所述沉积方法。作为例子,可能在衬底是具有200mm直径的硅晶片时在1和200个衬底之间处理。更典型地,可能在衬底是具有200mm直径的硅晶片时在1和150个衬底之间处理。如果衬底是具有直径300mm的硅晶片,则更典型地在1和100个衬底之间处理。近来,新版本的“微型成批”反应器已经面市,由此以单批处理数量在1和50之间的成批的衬底。在此情形中,各衬底将会是具有200mm或300mm直径的硅晶片。最后,一些新的“微型成批”的系统被配置在1和25个衬底之间的处理。又在此情形下,所述衬底将是具有直径200mm或300mm的硅晶片。
在另一个实施方案中,提供了用于形成衬底表面的膜的方法,其特征在于:提供了两或多种前体,每种前体包含至少一种不同的化学组分,通过直接注入系统或鼓泡器系统中的任何一种或两者将所述希望量的前体转化为气态,将所述气态的前体一起传送到处理室,并且在所述衬底的表面形成单层,所述单层包含每个分开的化学组分。
在另一个方面,提供了一种用于原子层沉积(ALD)的系统,包括:至少一种直接液体注入系统,其适于将一个或多种沉积前体注入到一个或多个气化室;至少一种鼓泡器系统,其适于气化一个或多个沉积前体;以及处理室,其被连接到所述直接注入系统和所述鼓泡器系统,所述处理室适于从所述直接液体注入和鼓泡器系统中接收沉积前体,并且适于来实施ALD处理。
在另一个替代的实施方案中,该系统包括两个分开的鼓泡器系统。在另一个替代的实施方案中,所述系统包括两个分开的直接液体注入系统。
附图说明
本发明的其他方面、实施方案和优点在参照附图阅读下面提供的对本发明和权利要求书的详细描述时会变得清楚,在附图中:
图1是根据本发明的一个实施方案用于制造的多组分膜的系统的示意性框图。
图2是参照本发明的替代实施方案用于制造多组分膜的系统的示意性框图。
图3是根据本发明的又一个实施方案用于制造多组分膜的系统的示意性框图。
图4是图示采用本发明的直接液体注入系统的一个实施方案的原子层沉积系统的简单的示意性框图。
图5A和5B是描述本发明的实施方案可能采用的整批原子层沉积系统的简单的局部横截面视图。
具体实施方式
总体而言,本发明人已经发现了一种方法,用于为混合前体作准备使得在原子层沉积(ALD)处理单个脉冲步骤期间在室中存在前体混合物,以便在沉积表面上形成具有多化学混合物的单层。所述前体包括不同的化学组分,并且这些组分将形成多组分膜。这样一种方法与现有技术(其中被气化的前体被分开地传送或脉动进入ALD处理中的室)不同。各种多组分膜可以用本发明形成,其包括但是不局限于:金属、金属合金、混合金属氧化物、硅、氮化物(nitrides)、氧氮化物(oxynitrides)及其混合物。
在一个方面,本发明提供了一种用于可重复地和基本上均匀地控制多组分膜的化学计量组成的系统和方法。
在一系列实施方案中,本发明提供了用于制造比二氧化硅具有更高电容率或介电常数并且能够预防电流的隧道效应或泄漏的电介质的系统和方法。本发明的另一个方面提供了一种用于制造能够改善电介质和硅衬底的表面属性和化学属性同时最小化等价的氧化物厚度的界面的系统和方法。
在一个方面,本发明提供了一种用于形成多组分膜的系统。在一个实施方案中,所述系统通常包括一个或多个气化器,每个气化器被连接到总导管(manifold)。所述总导管被连接到反应或沉积室的入口。所述入口包括注入器,如的莲蓬头注入器(showerhead injector)等。
每个气化器容纳包括至少一种沉积金属的单种沉积前体。每个气化器被连接到质量流量控制器和温度控制单元。所述质量流量控制器和温度控制单元可以被选择性控制,以便温和地处理室中存在的沉积前体的浓度。在一个实施方案中,每个质量流量控制器通过该系统缓和运载气体的流量,该运载气体又稀释并传递沉积前体到总导管或处理室。
在一系列的实施方案中,气化器是气化包括至少一种沉积金属的单种沉积前体的鼓泡器(bubbler)。包括运载气体的被增压的气体被鼓泡而进入沉积前体。被增压的气体的流量速率可以被选择性地控制,从而调整处理室中存在的沉积前体的浓度。运载气体被前体的蒸气饱和。
在一个实施方案中,总导管便于在传递到处理室之前混合沉积前体。在一些实施方案中,总导管包含在传递到处理室之前容纳和混合沉积前体的T接头凹槽。该总导管可以被加热,以便利于沉积前体流入处理室,从而预防在总导管中浓缩。或者,前体的混合可以在处理室中发生,并且总导管可以被去掉。
沉积前体通常经由气体入口被传递到处理室,并且在所述表面或衬底上化学和/或物理吸收沉积前体的单层。所述衬底可以是硅、金属、金属合金、玻璃、聚合物、塑料、有机或无机工件。气体入口可以采用多种形式。在一个例子中,所述气体入口包括注入器(如莲蓬头注入器等)。或者,所述沉积前体可以通过多个注入器被传递到莲蓬头注入器。
一般来说,所述衬底在当使用单个晶片室的沉积期间,被支撑在晶片支撑物(如静电或真空的卡具)上。在一个实施方案中,所述卡具(chuck)能够通过传导、对流、辐射或非辐射过程或其混合而对该衬底降温或加热。或者晶片支撑物可以是支持用于批处理的多个衬底的船形体或盒形体。多个衬底通常数量在1和200个衬底之间,优选在1和150个衬底之间,或者在1和100个衬底之间,或者在1和50个衬底之间,以及任选地在1和25个衬底之间。
入口端口可交换地使得对进入处理室的反应物原位进行氧化、还原或氮化(nitridate),从而促进了单层或衬底表面的连续氧化、还原或氮化。
本发明提供了用于通过混合包含进入室的气体前体的混合物的前体并且以相对低的温度实现ALD处理来制造多组分膜的系统和方法。在本发明的一个例子中,气体前体的混合物包含金属氨化物(metal amide)和硅氨化物(silicon amide)。前体混合物的单层被允许在衬底表面形成,并且用多种手段消除过量的混合物。然后将衬底暴露到氮化剂(如氨、氘化氨、15N-氨、胺、酰胺、肼、烷基肼、氮气、氧化氮、氧化亚氮、氮自由基、N-氧化物、原子氮及其混合物)。氮化剂被允许与前体的单层相互反应。过量的氮化剂用多种手段消除。然后将衬底暴露到氮化剂(如臭氧、氧气、过氧化物、水、空气、氧化亚氮、氧化氮、H2O2、N-氧化物及其混合物)。这在衬底上形成了金属硅氮氧化合物的单层。这种顺序重复进行以达到沉积所想要的厚度。
特别的优点在于,本发明的多组分膜利用组分梯度形成。组分梯度可以被用来“缓冲”电介质和衬底。例如,当所述衬底是硅时,第一层被沉积大量的硅和较少量的构成电介质的第二沉积金属。除了实质上更少量的硅外,在第一层上面第二层包括主要外构成电介质的沉积金属。在一些实施方案中,附加的各层可以被添加,以便调和相邻各层表面特性和化学特性。在各种实施方案中,每层可以被氧化、还原、氮化及其原位组合。组成梯度还在膜中提供了折射率梯度,这提供了各膜的独特的光学特性。
图1是被简化的图,其描述了按照本发明的一个实施方案用于制造多组分膜的系统。参照图1,一般来说,系统10包括处理室(未示出),其容纳用于支撑一个或多个晶片或衬底的晶片支撑。空气入口12被提供来传送沉积前体和其他气体(例如,诸如氧化气体等的反应物气体或稀释气体)到所述室,以便在所述衬底的表面形成各层或膜。在所图示的实施方案中,气体总导管14互连一个或多个气化系统15到处理室。图1中所图示的实施方案,气化系统包括至少一种DLI系统16和一个鼓泡器系统20,然而,可以采用任何数量的气化系统。每个DLI系统16包括:一个或多个储槽22,用于容纳沉积前体或沉积前体的混合物;以及一个或多个气化器元件18,通过该气化器元件,运载气体被流动以便帮助储槽内的内容物气化。运载气体进入DLI气化器18的流量可以使用质量流量控制器24调节,以便控制被气化的沉积前体的速率和浓度。
图2是被简化的描述用于制造多组分膜的系统的另一个实施方案的示意图。参照图2,一般来说,系统30包括:处理室(未示出),用于容纳用于支撑晶片或衬底的晶片支撑。气体入口31被提供来传送沉积前体和其他气体(例如诸如氧化气体等之类的反应物气体或稀释气体)到所述室,以便在所述衬底的表面形成各层或膜。在所图示的实施方案中,气体总导管32互连一个或多个气化系统34到处理室。图2中所图示的实施方案示出了两个DLI系统36A和36B。然而,可以采用任何数量的气化系统。每个DLI系统36A和36B包括:储槽(reservoir)38A和38B,用于容纳沉积前体或沉积前体的混合物;以及气化器元件40A和40B,通过该气化器元件,运载气体被流动以便帮助储槽38A和38B内的内容物气化。运载气体进入气化器40A和40B的流量可以使用各自的质量流量控制器42A和42B调节,以便控制被气化的沉积前体的速率和浓度。
图3是被简化的描述按照本发明的一个实施方案的用于制造多组分膜的系统的一个实施方案的示意图。参照图3,一般来说,系统50包括:处理室(未示出),用于容纳用于支撑晶片或衬底的晶片支撑。气体入口51被提供来传送沉积前体和其他气体(例如诸如氧化气体等之类的反应物气体或稀释气体)到所述室,以便在所述衬底的表面形成各层或膜。在所图示的实施方案中,气体总导管52互连一个或多个气化系统54到处理室。图3中所图示的实施方案示出了两个鼓泡气化系统56A和56B,然而,可以采用任何数量的气化装置。每个鼓泡器系统56A和56B包括:储槽(reservoir)58A和58B,用于容纳沉积前体或沉积前体的混合物,通过该储槽58A和58B,运载气体被流动以便帮助储槽58A和58B内的内容物气化。运载气体进入鼓泡气化系统56A和56B的流量可以使用各自的质量流量控制器60A和60B调节,以便控制被气化的沉积前体的速率和浓度。
在本发明的一个实施方案中,所使用的包括至少一种沉积金属的沉积前体具有下面的分子式:
M(L)x
其中,M是一种配体,选自Ti、Zr、Hf、Ta、W、Mo、Ni、Si、Cr、Y、La、C、Nb、Zn、Fe、Cu、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu、Ga、In、Ru、Mn、Sr、Ba、Ca、V、Co、Os、Rh、Ir、Pd、Pt、Bi、Sn、Pb、Tl、Ge以及其混合物;其中L是一种配体,选自胺、酰胺、烷氧化物、卤素、氢化物、烷基、叠氮化物、硝酸盐、亚硝酸盐、环戊二烯基、羰基、羧酸盐、二酮、乙脒、酮亚胺、二酰亚胺、烯烃、炔及其取代的类似物以及其各种组合;其中x是小于或等于M的价键数的整数。
有益于选择在每个沉积前体中相同的配体(L),以便避免当每种前体以气化形式被混合时发生配体交换。配体交换能够导致可以不利地影响所沉积膜的质量的粒子的形成。在气化形式时,不发生配体交换的配体也是合适的。
在一个优选实施方案中,选择两个沉积前体:其中M是铪的第一沉积前体和其中M是硅的第二沉积前体。所述第一沉积前体和第二沉积前体两者都具有相同的配体(L),以避免当第一沉积前体和第二沉积前体混合时配体交换发生。适合的配体包括(但不限于):二甲胺、二乙胺、二乙基甲胺或叔丁氧化物。
铪的来源可以包括二烷基胺铪、烷氧基铪、hafnium dieketonates、氯化铪(HfCl4)、四(乙基甲氨基)铪(TEMA-Hf)等中的任何一个或组合。硅的来源可以包括氨基硅烷、烷氧基硅、二烷基氨基硅、硅烷、氯化硅、四甲基二硅氧烷(TMDSO)、四(乙基甲氨基)硅(TEMA-Si)等中的任何一个或组合。在一个优选实施方案中,液态前体124、126分别包括TEMA-Hf和TEMA-Si。
沉积前体通过直接液体注入、通过鼓泡器系统或通过两者的组合来气化。每个气化器容纳单个沉积前体。在一些实施方案中。每个系统包括连接到质量流量控制器和加热机构的一个或多个气化器。如根据本发明一个的实施方案在上文描述的那样,提供了在所沉积的膜的化学组分的一个或多个的组成梯度。在一个例子中,通过控制被气化的前体量实现组成的选择性控制。一般通过调整加热气化器以便气化所选择的前体到所希望浓度的温度单元和/或气体流量控制器,来控制被气化的前体量。附加地或可选择性地,可以将稀释气体传送进入注入器或总导管(未示出),并且所述稀释气体的流动速率可以被选择性地控制,以便稀释传送到所述室的沉积前体量。
气化器可以由用于气化包括至少一种沉积金属的沉积前体的鼓泡器构成。当气化器是鼓泡器时,被加压的气体(诸如运载气体)被鼓泡进入沉积前体储槽。有用的运载气体包括:氮气、氩气或氦气。加压的气体稀释并运载沉积前体进入它们各自的沉积前体管道,并且便于所述沉积前体的混合。或者,为了在膜中提供组成梯度,可以通过改变鼓泡器的温度可操作地控制一个或多个沉积前体的浓度,以便可选择性地增加或减少被气化的沉积前体的量。可以独立地或与质量流量控制器的控制和/或运载气体的流动速率一前一后合作地进行温度控制。因此,各种控制机构中的每个可以被独立地或被以各种组合形式地使用。
在其他实施方案中,由于沉积前体的特性,沉积前体可以通过光解作用或酶或化学催化作用在储槽中挥发。
在另一个实施方案中,前体储槽可以包含前体化学品的混合物。该混合物一般包括至少一种金属化合物。前体化学品的配体用相同的配体选择,使得在配体交换时该混合物的化学特性没有变化。或者,这样选择配体,使得该化学品相对彼此是稳定的,并且没有配体交换发生。该混合物接着可以被作为“混合液体”使用直接液体注入(DLI)系统传递,并且在适合的气化器装置中被气化,作为前体的气态混合物传递到管道。清楚的是,本实施方案能够形成带有宽范围的多组分的材料,而不必加倍为传递每个独特的化学前体所要求的单独硬件。可以使用本发明沉积的材料的例子包括(但不局限于)HfSiOx、HfSiON、HfSiN、TiAlN、TiSiN、TaAlN、TaSiN、HfTiOx、Ta-Ru合金,式AxByCzO的四元金属氧化物、式AxByCzN的四元金属氮化物等。
在另一个实施方案中,前体储槽可以包含前体化学品的混合物。该混合物通常包含至少一种金属化合物。前体化学品的配体按相同的配体选择,使得在配体交换时所述混合物的化学特性没有变化。或者,这样选择配体,使得化学品相对彼此是稳定的,并且没有配体交换发生。该混合物接着可以使用众所周知的手段作为“悬浮微粒(aerosol)”被传递,并且在适合的气化器装置中被气化,以及作为前体的气态混合物被传递到管道。清楚的是,该实施方案允许用宽范围的多组分形成材料,而不加倍为传递每个独特的化学品前体所要求的单独硬件。可以使用本发明沉积的材料的例子包括(但不局限于)HfSiOx、HfSiON、HfSiN、TiAlN、TiSiN、TaAlN、TaSiN、HfTiOx、Ta-Ru合金,式AxByCzO的四元金属氧化物、式AxByCzN的四元金属氮化物等。
再参照图1-3,在气化所述沉积前体之后,通过去往室的沉积前体管道将它们运载到总导管。沉积前体管道可以具有任何的形状、大小和长度。该管道可以由金属、塑料、聚合物或合金制造。典型地,所述管道由与总导管相同的材料做成。与所述总导管类似,可以使管道绝热或加热,以便利于气化。任选地,管道和总导管包含用于用光谱仪检查法或光谱测定法测量蒸气浓度和组成的采样区域。
可以通过重力或加压气体促成前体的混合。还可以通过诸如用于强迫将前体通过管道注入总导管的活塞之类的物理手段来实现混合,其中所述前体被允许混组成均一的沉积混合物。在一些实施方案中,管道在总导管的T接头处汇合并结束,其中所述前体在传递到处理室之前混合。
或者,所述管道可以汇合并直接将各自的前体传输到混合区域或附近的空室、或所述室的入口。在一些实施方案中,可以插入过滤器,或将其附接到总导管,以便消除不想要的或分离出的特定的杂质和气体。
任选地,再次对于总导管和管道,内部嵌入或外置的加热或降温元件可以被用于调整混合过程并且最小化在膜中的微粒和杂质的形成。
总导管可以采用适合于在将前体传送到室之前混合前体的各种形式。总导管可以是经由诸如T接头之类的结合装置连接到气化器的单个管道。总导管可以包括空室或插槽,用于为前体混合提供一些驻留时间。在替代的实施方案中,总导管可以被一起消除,并且所述沉积前体被直接传送到气体入口,并且当它们被传送到室时,在气体入口中被混合(诸如当气体入口由注入器组成时)。
参照图4、5A和5B,一旦在系统10中前体已经被气化,则经由一个或多个气体入口将它们传送到室。气体入口可以采用用于传递气体到室的各种形式。在如图4所示的一个实施方案中,所述室由单个晶片室组成。如图4所示,原子层沉积系统一般包括:处理室102,用于容纳用于支撑晶片或衬底106的晶片支撑物104。气体总导管14经由气体入口12将一个或多个气化系统15相互连接到处理室102。在一些实施方案中,气体入口12将被气化的气体传送到注入器108,如莲蓬头注入器或线形注入器。在本发明的范围内还可以并入利用在处理室中可调整的多个注入器以提供需要的膜的莲蓬头。尽管在图4中示出了一个特定的实施方案,但是替代的排列也落入本发明的范围内。例如,在图2和3中分别示出的气化系统30和50可以和处理室102使用。
在一些实施方案中,本发明可以采用批处理室、或微型成批的室,如同一般性地在图5A和5B所示的那样。在一批或微型成批的室202中,船形物110容纳多个晶片或衬底112。多个气体入口114被采用,并且气体可以如图5B所示那样并行地或以横向流的方式在每个表面上被传送。微型成批的室的例子在题目为“Thermal Processing System and Configurable VerticalChamber”的PCT专利申请No.PCT/US03/21575中被描述,其公开内容通过引用在此并入。替代的分批或微型分批的室(例如没有提供横向流的室)的配置还可以按照本发明来实施。
包括前体的沉积混合物的层被沉积在所述衬底。适合的衬底包括:金属、金属合金、玻璃、聚合物、塑料、有机或无机工件。取决于沉积的模式,会在所述衬底形成一个单层或多个单层的沉积混合物。用于沉积的优选方法是原子层沉积。然而,本发明的系统和方法可以采用其他的沉积技术。
再回来参照图1-3,继沉积混合物的沉积之后,通过连接到控制系统压力、气体流量并且在每个沉积处理之后确保处理室(未示出)快速清除的真空泵的出气口,从系统中清除过量的混合物。晶片支撑物(未示出)被用来在沉积或退火步骤期间支撑和加热所述衬底。晶片支撑物典型地包含在此形成的加热或降温元件。外部加热器(未示出)还可以被用来控制处理室的温度。优选地,晶片支撑物是真空或静电卡具。
处理室(未示出)具有可切换并且能够顺序提供在室的处理或清洁中使用的其他气体的入口。反应物气体可以经由入口被传送到室。适合的反应物气体包括:氧化气体、还原气体、氮化气体或其混合物。可以通过入口传送的其他气体包括运载气体或惰性气体、或其混合物。
在一个优选实施方案中,被气化的沉积前体在引入反应室之前在总导管被混合,以便提供更均匀的膜,并且允许对膜的组成进行最大控制。然而,可能分开传送每个被气化的前体到气体入口,如注入器等,其在气体被注入到室时使气体混合,由此消除了对分开的总导管的需要。利用本发明的教导,各种机械的实施方案是适合的,并且本发明不局限于任何一种机械配置。本发明的教导提出:至少各种不同的前体的一些混合发生,使得具有不同化学组分的前体的混合物在处理室中存在,以便形成在一个单层中具有多组分的膜。
反应物气体可以通过入口被引入处理室,以便处理和/或与包括在衬底的表面上的沉积混合物的单层反应。反应物气体可以按顺序提供、或同时与沉积前体在气体入口混合、或直接进入处理室。
可以根据应用来使用各种反应物气体。如果反应物气体是氧化气体,则单层被氧化。如果反应物气体是还原气体,则单层被还原。类似地,如果反应物气体是氮化气体,则单层被氮化。适合的氧化气体包括:臭氧、氧气、单线态氧、三线态氧、水、过氧化物、空气、氧化亚氮、氧化氮、H2O2及其混合物。适合的还原气体包括氢气。适合的氮化气体包括:氨、氘化氨、15N-氨、肼、烷基肼、二氧化氮、氧化氮、氮自由基、氧化亚氮、N-氧化物、酰胺、胺及其混合物。在另一个实施方案中,在沉积前体在所述衬底沉积之后,所述衬底可以被在真空中传输到能够在所述衬底上氮化、氧化、还原或退火所述单层的第二处理单元。
在一个例子中,为了通过ALD形成包括HfSiN的多组分膜,与包含诸如用于形成HfSiN的源的氮(如NH3以形成HfSiN)一起,将铪和硅沉积前体(例如:分别为TEMA-Hf和TEMA-Si)气化、混合并传送(也称作为“脉动”)到处理室。所述处理可以在将Hf和Si沉积前体一起混合并且脉动到处理室、接着清除的情况下实施。氮源气体(如NH3)可以被脉动和清除(purge)。这些步骤形成了用于形成HfSiN膜的一个ALD循环。在另一个实施方案中,在用于形成HfSiN膜的一个ALD循环中,用氧化剂(如臭氧)执行进一步的脉动和清除步骤。
在一个例子中,在大约25至800℃的范围(通常地在大约50至600℃的范围、以及最平常地在大约100至500℃的范围)内的处理温度实施ALD处理。在处理室中的所述压力在大约0.001mTorr至600Torr的范围(通常在大约0.01mTorr至100Torr的范围、最通常在大约0.1mTorr至10Torr的范围)。该压力范围涵盖了脉动和清除步骤两者。在处理室中的总的惰性气体(包括在使用时的鼓泡器中的运载气体)流动速率一般在大约0至20,000sccm的范围(更通常地在大约0至5,000sccm的范围)。
任选地,在衬底上已经沉积了沉积前体之后,可以在真空中将所述衬底传输到能够氮化、氧化、还原或退火在所述衬底上的单层的第二处理单元。
适合的铪源包括:二烷基胺铪、烷氧基铪、hafnium dieketonates或卤化铪。适合的硅源包括:卤化硅、二烷基氨基硅或二烷基胺硅、烷氧基硅、硅烷、乙硅烷、硅氧烷、氨基乙硅烷以及乙硅烷卤化物。典型地,选择具有共同配体的铪源和硅源,以便防止由配体交换导致混乱(complication)。共价桥混合的金属(如在题目为“Molecular Layer Deposition Of Thin Films WithMixed Components”PCT专利申请号PCT/US03/22236中所公开的那样,在此通过引用并入)以及众所周知的非共价键混合的金属可以被用作用于沉积的前体。各种类型的非共价键包括:氢键、配价键、金属-金属键、金属-π、金属-π*、π-π键、σ-σ(sigma-sigma)键、离子键、范德华相互作用、疏水/亲水相互作用、极性键或偶极矩相互作用。惰性气体的源包括:运载气体,如氩、氮、惰性气体或其混合物。
任选地,如果需要,代替依赖于加热形成并退火氮化物层,氮化可以用光或者光、热和化学引发剂(initiator)的任何组合促进。例如,在一些实施方案中,直接的等离子体(direct plasma)、遥远的等离子体(remote plasma)、下游等离子体(downstream plasma)、紫外光子能量或其组合,可以被用来促进氮化。活化能量源包括:等离子体、光、激光、自由基以及微波能量源及其组合。
正如前面在分开的实施方案中所提及的那样,适合的氮源包括:氨、氘化氨、15N-氨、胺、酰胺、氮气、肼、烷基肼、氧化氮、氧化亚氮、氮自由基、N-氧化物、或其混合物。
在另一个示例性的例子中,第一前体气化器被提供具有包括Hf(例如TEMA-HF)的第一前体。还提供了具有包括Si(例如TEMA-Si)的第二前体的第二前体气化器。“成批”的衬底或晶片(例如,50块衬底)被放置在处理室的衬底支架上。在该例子中,处理室是本领域公知的垂直熔炉的部件。处理室被抽空,而衬底被加热到预定的处理温度。如上所述,处理温度优选从大约50到800℃,并且更优选从大约100到500℃。对于此例,所希望的温度是275℃。第一和第二前体通过储槽使气体鼓泡而被气化,以便形成第一和第二被气化的前体,并被混合,然后被流动到处理室。所混合的第一和第二被气化的前体通过适合的气体入口(如注入器)被导入衬底上,并且形成由两种前体(例如Hf化合物和Si化合物)的化学元素组成的单层。过量的被混合的第一和第二前体通过适合的手段被消除,而臭氧脉冲被允许流动到处理室,以便与被混合的第一和第二前体反应,并形成硅酸铪(例如,HfxSi1-xO2)的均匀层。注意到,本发明不具体地导致在层中的HfO2和SiO2化合物的“混合物”。该顺序被重复,直到达到所想要的厚度。用此方法,已经成功地将“共注入”方法同时应用到多个衬底。
根据本发明,具有不同膜厚度和组成的多个层可以被沉积。尽管在此示出了描述SiO2、HfO2、HfSiOx、HfN、SiN、SiON和HfSiON的形成的特定例子,但是本领域普通技术人员要明白,本发明的方法和ALD系统可以被用来产生任何的厚度、组分或者各种类型的包括金属、金属合金、混合的金属氧化物、硅酸盐、氮化物、氧氮化物或其组合的薄膜。
出于解释和描述的目的已经提出了前文对本发明的特定实施方案的描述。它们不旨在穷举或将本发明局限于所公开的精确形式,并且显然许多的修改、实施和变化借助于上面的教导是可能的。意图是本发明的范围由在此附加的权利要求书及其等价物定义。

Claims (18)

1.一种用于在衬底的表面形成膜的方法,包括如下步骤:
利用直接液体注入和鼓泡器气化中的任何一种或其组合气化两或多种前体,每种前体包含至少一种金属或非金属成分;
传送所述两或多种前体到处理室,其中所述前体在所述处理室被一起提供;
在所述衬底的表面形成单层,所述单层包含金属或非金属成分中的每种;
从所述处理室中清除所述前体的过量混合物;
传送第一反应物到所述处理室,并且允许所述第一反应物与所混合的前体的单层反应,以形成包含金属的材料;
从所述处理室除去过量的第一反应物;
传送第二反应物到所述处理室,并且允许所述第二反应物与包含金属的材料的单层反应,以形成金属-(第一反应物)-(第二反应物)材料;以及
重复所述顺序直到达到所述膜希望的厚度。
2.如权利要求1所述的方法,其中所述衬底表面选自:半导体材料、复合半导体材料、硅、塑料、聚合物、金属、合金、有机物、无机物及其混合物。
3.如权利要求1所述的方法,其中,所述第一前体和第二前体每个具有如下化学式:
M(L)x
其中,M是一种金属,选自Ti、Zr、Hf、Ta、W、Mo、Ni、Si、Cr、Y、La、C、Nb、Zn、Fe、Cu、Al、Sn、Ce、Pr、Sm、Eu、Tb、Dy、Ho、Er、Tm、Yb、Lu、Ga、In、Ru、Mn、Sr、Ba、Ca、V、Co、Os、Rh、Ir、Pd、Pt、Bi、Sn、Pb、Tl、Ge以及其混合物及其组合;
其中L是一种配体,选自胺、酰胺、烷氧化物、卤素、氢化物、烷基、叠氮化物、硝酸盐、亚硝酸盐、环戊二烯基、羰基、羧酸盐、二酮、乙脒、酮亚胺、二酰亚胺、烯烃、炔及其取代的类似物;
其中x是小于或等于M的价键数的整数。
4.如权利要求1所述的方法,其中所述第一反应物或第二反应物选自:氨、氘化氨、15N-氨、胺、酰胺、肼、烷基肼、氮气、氧化氮、氧化亚氮、氮自由基、N-氧化物及其混合物。
5.如权利要求1所述的方法,其中所述第一反应物或第二反应物选自:臭氧、氧气、单线态氧、三线态氧、原子氧、水、过氧化物、空气、氧化亚氮、氧化氮、H2O2及其混合物。
6.如权利要求1所述的方法,其中在所述直接液体注入系统中所述的气化的步骤还包括:将液态下的所述前体传送到气化器室,其中使所述前体气化成为气态。
7.如权利要求1所述的方法,其中在所述鼓泡器中气化的所述步骤还包括:运载气体鼓泡通过充满液体的所述前体的容器,以便用所述前体的蒸气饱和运载气体;并且将所述饱和的运载气体传送到所述处理室。
8.如权利要求1所述的方法,其中所述前体是单种的包含金属的化学品。
9.如权利要求1所述的方法,其中所述前体是含金属的化学品的混合物。
10.如权利要求1所述的方法,其中所述方法在大约20至800℃的温度范围内实施。
11.如权利要求1所述的方法,其中所述方法在所述处理室处于大约0.001mTorr至600Torr的压力下实施。
12.如权利要求1所述的方法,其中在任何单个步骤期间包括所述前体、氮化反应物和氧化反应物以及附加的清除气体的去往所述处理室的总的气体流动速率在大约0到20,000sccm的范围内的情况下,实施所述方法。
13.如权利要求1所述的方法,其中所述处理室用这样的方式配置,以至于在单个衬底上实施所述膜形成的方法。
14.如权利要求1所述的方法,其中所述处理室用这样的方式配置,以致在多个衬底上实施所述膜形成方法。
15.如权利要求14所述的方法,其中所述多个衬底的数量在1和200之间。
16.一种在衬底的表面形成膜的方法,其特征在于:两或多种前体,每种前体包含至少一种不同的化学组分,在所述前体处于液态时控制所希望量的所述前体,通过直接注入系统或鼓泡器系统中的任何一种或其组合将所述希望量的前体转化为气态,将所述气态的前体一起传送到处理室,并且在所述衬底的表面形成单层,所述单层包含每个分开的化学组分。
17.一种原子层沉积(ALD)的系统,包括:
至少一种直接液体注入系统,其适于将一个或多种沉积前体注入到一个或多个气化室;
至少一种鼓泡器系统,其适于气化一个或多种沉积前体;以及
处理室,其被连接到所述直接注入系统和所述鼓泡器系统,所述处理室适于从所述直接液体注入和鼓泡器系统中接收沉积前体,并且被调整来实施ALD处理。
18.一种用于原子层沉积(ALD)的系统,包括:
第一鼓泡器系统,其适于气化一或多种沉积前体;
第二鼓泡器系统,其适于气化一或多种沉积前体;以及
处理室,其被连接到所述第一鼓泡器系统和第二鼓泡器系统,所述处理室适于从所述第一鼓泡器系统和第二鼓泡器系统中接收沉积前体,并且适于来实施ALD处理。
CNA2005800352438A 2004-08-16 2005-08-16 用于形成多组分介电薄膜的直接液体注入系统和方法 Pending CN101040371A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US60218904P 2004-08-16 2004-08-16
US60/602,189 2004-08-16

Publications (1)

Publication Number Publication Date
CN101040371A true CN101040371A (zh) 2007-09-19

Family

ID=35968111

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800352438A Pending CN101040371A (zh) 2004-08-16 2005-08-16 用于形成多组分介电薄膜的直接液体注入系统和方法

Country Status (7)

Country Link
US (1) US20060110930A1 (zh)
EP (1) EP1779419A4 (zh)
JP (1) JP2008510321A (zh)
KR (1) KR20070044492A (zh)
CN (1) CN101040371A (zh)
TW (1) TW200625431A (zh)
WO (1) WO2006023501A2 (zh)

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102352491A (zh) * 2011-11-01 2012-02-15 嘉兴科民电子设备技术有限公司 一种用于原子层沉积设备的进气方法
CN102392228A (zh) * 2011-11-01 2012-03-28 嘉兴科民电子设备技术有限公司 一种用于原子层沉积设备的进气方法
CN102912314A (zh) * 2007-10-31 2013-02-06 高级技术材料公司 无定形Ge/Te的沉积方法
CN103305808A (zh) * 2013-06-13 2013-09-18 林嘉佑 二氧化硅薄膜的生产设备及其生产方法
CN103975417A (zh) * 2011-11-10 2014-08-06 圣戈班晶体及检测公司 用于半导体晶体材料形成的系统
US9537095B2 (en) 2008-02-24 2017-01-03 Entegris, Inc. Tellurium compounds useful for deposition of tellurium containing materials
CN110177899A (zh) * 2016-12-15 2019-08-27 Asm Ip控股有限公司 顺序渗透合成设备
CN110573652A (zh) * 2017-03-15 2019-12-13 弗萨姆材料美国有限责任公司 用于沉积作为铁电材料的硅掺杂氧化铪的新制剂
CN110573651A (zh) * 2017-03-15 2019-12-13 弗萨姆材料美国有限责任公司 用于沉积作为铁电材料的硅掺杂氧化铪的新制剂
CN111742077A (zh) * 2017-12-28 2020-10-02 朗姆研究公司 使用化学抑制对膜进行保形性调节

Families Citing this family (202)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7601225B2 (en) * 2002-06-17 2009-10-13 Asm International N.V. System for controlling the sublimation of reactants
US7253084B2 (en) 2004-09-03 2007-08-07 Asm America, Inc. Deposition from liquid sources
US7547631B2 (en) * 2006-07-31 2009-06-16 Rohm And Haas Electronic Materials Llc Organometallic compounds
JP5437594B2 (ja) 2007-06-05 2014-03-12 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 有機金属化合物
US8142847B2 (en) 2007-07-13 2012-03-27 Rohm And Haas Electronic Materials Llc Precursor compositions and methods
TWI425110B (zh) * 2007-07-24 2014-02-01 Sigma Aldrich Co 以化學相沉積法製造含金屬薄膜之方法
TWI382987B (zh) * 2007-07-24 2013-01-21 Sigma Aldrich Co 應用於化學相沉積製程的有機金屬前驅物
DE102008015270A1 (de) * 2008-03-20 2009-10-15 Qimonda Ag Herstellungsverfahren einer leitfähigen Schicht für eine integrierte Schaltung
US8343583B2 (en) 2008-07-10 2013-01-01 Asm International N.V. Method for vaporizing non-gaseous precursor in a fluidized bed
US8012876B2 (en) * 2008-12-02 2011-09-06 Asm International N.V. Delivery of vapor precursor from solid source
US20100267191A1 (en) 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
KR100953736B1 (ko) 2009-07-27 2010-04-19 주식회사 아토 증착 장치 및 반도체 소자의 제조 방법
EP2339048B1 (en) * 2009-09-14 2016-12-07 Rohm and Haas Electronic Materials, L.L.C. Method for depositing organometallic compounds
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8440537B1 (en) * 2011-11-11 2013-05-14 Intermolecular, Inc. Adsorption site blocking method for co-doping ALD films
TWI586828B (zh) * 2012-02-10 2017-06-11 財團法人國家同步輻射研究中心 原子層沈積之摻雜方法
WO2014039597A2 (en) * 2012-09-07 2014-03-13 Linde Aktiengesellschaft Direct liquid injection of solution based precursors for atomic layer deposition
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9245742B2 (en) * 2013-12-18 2016-01-26 Asm Ip Holding B.V. Sulfur-containing thin films
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
EP3173507A1 (de) * 2015-11-25 2017-05-31 Umicore AG & Co. KG Verfahren zur metallorganischen gasphasenabscheidung unter verwendung von lösungen von indiumalkylverbindungen in kohlenwasserstoffen
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US11631580B2 (en) 2017-03-15 2023-04-18 Versum Materials Us, Llc Formulation for deposition of silicon doped hafnium oxide as ferroelectric materials
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111492092A (zh) * 2017-12-20 2020-08-04 朗姆研究公司 合金原子层沉积中前体的均质混合的系统和方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
US11741223B2 (en) 2019-10-09 2023-08-29 International Business Machines Corporation Validation of network host in email
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5702532A (en) * 1995-05-31 1997-12-30 Hughes Aircraft Company MOCVD reactor system for indium antimonide epitaxial material
US6342445B1 (en) * 2000-05-15 2002-01-29 Micron Technology, Inc. Method for fabricating an SrRuO3 film
KR100332313B1 (ko) * 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
KR100384558B1 (ko) * 2001-02-22 2003-05-22 삼성전자주식회사 반도체 장치의 유전체층 형성방법 및 이를 이용한캐패시터 형성방법
US6918960B2 (en) * 2001-11-28 2005-07-19 Micron Technology, Inc. CVD of PtRh with good adhesion and morphology
US6552209B1 (en) * 2002-06-24 2003-04-22 Air Products And Chemicals, Inc. Preparation of metal imino/amino complexes for metal oxide and metal nitride thin films
WO2004010469A2 (en) * 2002-07-18 2004-01-29 Aviza Technology, Inc. Atomic layer deposition of multi-metallic precursors
WO2005124849A2 (en) * 2004-04-21 2005-12-29 Aviza Technology, Inc. System and method for forming multi-component dielectric films

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102912314A (zh) * 2007-10-31 2013-02-06 高级技术材料公司 无定形Ge/Te的沉积方法
CN102912314B (zh) * 2007-10-31 2015-08-12 诚实公司 无定形Ge/Te的沉积方法
US9537095B2 (en) 2008-02-24 2017-01-03 Entegris, Inc. Tellurium compounds useful for deposition of tellurium containing materials
CN102392228A (zh) * 2011-11-01 2012-03-28 嘉兴科民电子设备技术有限公司 一种用于原子层沉积设备的进气方法
CN102352491A (zh) * 2011-11-01 2012-02-15 嘉兴科民电子设备技术有限公司 一种用于原子层沉积设备的进气方法
CN103975417A (zh) * 2011-11-10 2014-08-06 圣戈班晶体及检测公司 用于半导体晶体材料形成的系统
CN103305808A (zh) * 2013-06-13 2013-09-18 林嘉佑 二氧化硅薄膜的生产设备及其生产方法
CN110177899A (zh) * 2016-12-15 2019-08-27 Asm Ip控股有限公司 顺序渗透合成设备
CN110573652A (zh) * 2017-03-15 2019-12-13 弗萨姆材料美国有限责任公司 用于沉积作为铁电材料的硅掺杂氧化铪的新制剂
CN110573651A (zh) * 2017-03-15 2019-12-13 弗萨姆材料美国有限责任公司 用于沉积作为铁电材料的硅掺杂氧化铪的新制剂
CN110573651B (zh) * 2017-03-15 2022-07-22 弗萨姆材料美国有限责任公司 用于沉积作为铁电材料的硅掺杂氧化铪的制剂
CN110573652B (zh) * 2017-03-15 2022-07-22 弗萨姆材料美国有限责任公司 用于沉积作为铁电材料的硅掺杂氧化铪的新制剂
CN111742077A (zh) * 2017-12-28 2020-10-02 朗姆研究公司 使用化学抑制对膜进行保形性调节

Also Published As

Publication number Publication date
WO2006023501A3 (en) 2006-11-30
EP1779419A2 (en) 2007-05-02
EP1779419A4 (en) 2009-04-08
WO2006023501A2 (en) 2006-03-02
KR20070044492A (ko) 2007-04-27
TW200625431A (en) 2006-07-16
JP2008510321A (ja) 2008-04-03
US20060110930A1 (en) 2006-05-25

Similar Documents

Publication Publication Date Title
CN101040371A (zh) 用于形成多组分介电薄膜的直接液体注入系统和方法
US7470470B2 (en) System and method for forming multi-component dielectric films
US20050255243A1 (en) System and method for forming multi-component dielectric films
WO2005124849A2 (en) System and method for forming multi-component dielectric films
US6818517B1 (en) Methods of depositing two or more layers on a substrate in situ
CN1735709A (zh) 薄膜逐层沉积的方法和设备
CN100392148C (zh) 用单晶片低压cvd淀积氧化硅和氮氧化物的方法
US7723245B2 (en) Method for manufacturing semiconductor device, and substrate processing apparatus
US8039404B2 (en) Production method for semiconductor device
US20060159847A1 (en) Method and apparatus for low temperature dielectric deposition using monomolecular precursors
US20070031598A1 (en) Method for depositing silicon-containing films
US20060178019A1 (en) Low temperature deposition of silicon oxides and oxynitrides
CN1926668A (zh) 在高介电常数的介电材料上的硅的氮氧化物层的形成
TW200831696A (en) Method of depositing catalyst assisted silicates of high-k materials
WO2004017378A2 (en) Atomic layer deposition of high k metal silicates
WO2007019449A1 (en) In-situ atomic layer deposition
EP1523763A2 (en) Molecular layer deposition of thin films with mixed components
CN1732288A (zh) 形成高质量的低温氮化硅层的方法和设备
WO2005096358A1 (en) A silicon germanium surface layer for high-k dielectric integ ration
JP2538740B2 (ja) 半導体製造装置及び半導体装置の製造方法
US20220122841A1 (en) Methods for depositing gap-filling fluids and related systems and devices
US20210355580A1 (en) Systems and Methods for Depositing a Layer on a Substrate Using Atomic Oxygen
TW201615879A (zh) 高溫二氧化矽原子層沉積技術
TWI389219B (zh) 形成介電或金屬薄膜的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication