CN111742077A - 使用化学抑制对膜进行保形性调节 - Google Patents

使用化学抑制对膜进行保形性调节 Download PDF

Info

Publication number
CN111742077A
CN111742077A CN201880090055.2A CN201880090055A CN111742077A CN 111742077 A CN111742077 A CN 111742077A CN 201880090055 A CN201880090055 A CN 201880090055A CN 111742077 A CN111742077 A CN 111742077A
Authority
CN
China
Prior art keywords
precursor gas
chamber
gas
precursor
ald
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201880090055.2A
Other languages
English (en)
Inventor
大卫·C·史密斯
丹尼斯·M·豪斯曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN111742077A publication Critical patent/CN111742077A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60PVEHICLES ADAPTED FOR LOAD TRANSPORTATION OR TO TRANSPORT, TO CARRY, OR TO COMPRISE SPECIAL LOADS OR OBJECTS
    • B60P3/00Vehicles adapted to transport, to carry or to comprise special loads or objects
    • B60P3/32Vehicles adapted to transport, to carry or to comprise special loads or objects comprising living accommodation for people, e.g. caravans, camping, or like vehicles
    • B60P3/34Vehicles adapted to transport, to carry or to comprise special loads or objects comprising living accommodation for people, e.g. caravans, camping, or like vehicles the living accommodation being expansible, collapsible or capable of rearrangement
    • B60P3/341Vehicles adapted to transport, to carry or to comprise special loads or objects comprising living accommodation for people, e.g. caravans, camping, or like vehicles the living accommodation being expansible, collapsible or capable of rearrangement comprising flexible elements
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60JWINDOWS, WINDSCREENS, NON-FIXED ROOFS, DOORS, OR SIMILAR DEVICES FOR VEHICLES; REMOVABLE EXTERNAL PROTECTIVE COVERINGS SPECIALLY ADAPTED FOR VEHICLES
    • B60J7/00Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs
    • B60J7/08Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position
    • B60J7/16Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel
    • B60J7/1607Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel for covering load areas, e.g. rigid panels for pick-up truck beds
    • B60J7/1621Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel for covering load areas, e.g. rigid panels for pick-up truck beds hinged on one side
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60JWINDOWS, WINDSCREENS, NON-FIXED ROOFS, DOORS, OR SIMILAR DEVICES FOR VEHICLES; REMOVABLE EXTERNAL PROTECTIVE COVERINGS SPECIALLY ADAPTED FOR VEHICLES
    • B60J7/00Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs
    • B60J7/08Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position
    • B60J7/16Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel
    • B60J7/1628Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel for covering the passenger compartment
    • B60J7/1635Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel for covering the passenger compartment of non-convertible vehicles
    • B60J7/1657Non-fixed roofs; Roofs with movable panels, e.g. rotary sunroofs of non-sliding type, i.e. movable or removable roofs or panels, e.g. let-down tops or roofs capable of being easily detached or of assuming a collapsed or inoperative position non-foldable and rigid, e.g. a one-piece hard-top or a single rigid roof panel for covering the passenger compartment of non-convertible vehicles at least a major part of the roof pivoting about a stationary axis
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B60VEHICLES IN GENERAL
    • B60YINDEXING SCHEME RELATING TO ASPECTS CROSS-CUTTING VEHICLE TECHNOLOGY
    • B60Y2200/00Type of vehicle
    • B60Y2200/10Road Vehicles
    • B60Y2200/14Trucks; Load vehicles, Busses
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Physics & Mathematics (AREA)
  • Transportation (AREA)
  • Public Health (AREA)
  • Health & Medical Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供了在原子层沉积(ALD)中用于金属氧化物膜的保形性调节的方法和系统。一些示例性方法使用化学抑制。用于执行这种方法的示例系统包含:室;前体气体源;抑制前体气体源;具有相应的气流路径的一或更多注射器,其各自具有能连接至所述前体气体源或所述抑制前体气体源的入口,且适合于单独地或与另一注射器一起使前体气体在多个区域中的第一区域中以第一气体流率输送至所述室中以便以第一沉积速率形成第一膜,并且适合于在所述多个区域中的相同区域或第二区域中以第二气体流率输送抑制前体气体以抑制所述第一膜的生长。

Description

使用化学抑制对膜进行保形性调节
优先权主张
本申请要求享有2017年12月28日提交的名称为“CONFORMALITY MODULATION OFMETAL OXIDE FILMS USING CHEMICAL INHIBITION”的Smith的美国临时申请No.62/611,541以及于2018年4月25日提交的名称为“CONFORMALITY MODULATION OF METAL OXIDEFILMS USING CHEMICAL INHIBITION”Smith的美国申请No.15/962,953的优先权,两者均通过引用全文并入本文。
技术领域
本发明总体上涉及半导体装置制造中的选择性原子层沉积,更具体而言,涉及使用化学抑制对金属氧化物膜进行保形性调节。在一示例中,使用选择性抑制以提供经改善的膜轮廓控制。
背景技术
按常规,原子层沉积(ALD)为基于气相化学处理的顺序使用的薄膜沉积技术。ALD被视为化学气相沉积的子类。大多数的ALD反应使用两种化学品,其通常称为前体。这些前体以顺序、自限制的方式每次一种与材料表面进行反应。通过重复暴露于分离的前体,沉积薄膜。
ALD为半导体装置和晶片制造中的关键处理,且为可用于合成纳米材料的成套工具的一部分。金属氧化物沉积中的轮廓控制也可使用周期性回蚀步骤来实现,但这会引入额外的硬件和成本。
本公开内容试图至少解决这些缺点。应注意,在该部分中描述的信息被提出以给本领域技术人员提供以下所公开的主题的背景,且不应被视为被认可的现有技术。
发明内容
在一示例性实施方案中,一种ALD设备包含:室;前体气体源;抑制前体气体源;具有相应的气流路径的一或多个注射器,每一个注射器具有能连接至所述前体气体源或所述抑制前体气体源的入口,且适合于单独地或与另一注射器一起使前体气体在多个区域中的第一区域中以第一气体流率输送至所述室中以便以第一沉积速率形成第一膜,并且适合于在所述多个区域中的相同区域或第二区域中以第二气体流率输送抑制前体气体以抑制所述第一膜的生长。
在一些示例中,所述一或多个注射器进一步适合于在使所述前体气体进入所述室之前,将所述抑制前体气体输送至所述室中。在一些示例中,所述一或多个注射器进一步适合于在使所述抑制前体气体进入所述室之前,将所述前体气体输送至所述室中。在一些示例中,所述一或多个注射器进一步适合于在使所述前体气体进入所述室的同时,将所述抑制前体气体输送至所述室中。在一些示例中,所述一或多个注射器进一步适合于在所述多个区域中的一者中以第三气体流率输送第二前体气体,以便以第二沉积速率形成第二膜。在一些示例中,所述一或多个注射器进一步适合于在使所述抑制前体气体进入所述室的同时,输送所述第二前体气体。所述抑制前体气体可包含螫合剂、二酮、硫醇、醇以及膦中的一或多者。在一些示例中,所述一或多个注射器进一步适合于以小于在平坦表面上实现所述前体气体的饱和所需的最小暴露的1%的暴露水平输送低度暴露的抑制前体气体。
附图说明
在附图的视图中以示例而非限制的方式显示了一些实施方案:
根据示例性实施方案,图1A-1B为保形结构的示意性剖面图。
根据示例性实施方案,图2A-2B包含另一保形结构的示意性剖面图。
根据示例性实施方案,图3包含亚保形结构的示意性剖面图。
根据示例性实施方案,图4包含超保形结构的示意性剖面图。
根据示例性实施方案,图5为在相应的配料与清扫模式中的SMFD反应器的示意图。
根据示例性实施方案,图6为示例性的ICP等离子体ALD反应器的示意图。
根据示例性实施方案,图7为远程等离子体反应器的示意图。
根据示例性实施方案,图8为CCP等离子体反应器的示意图。
根据示例性实施方案,图9为显示方法中的操作的流程图。
根据示例性实施方案,图10为显示方法中的操作的流程图。
图11为说明计算机控制器的示例的框图,通过该计算机控制器可控制本发明的一种或多种示例性方法。
具体实施方式
以下的说明包括实施本发明的说明性实施方案的系统、方法、技术、指令序列以及计算器程序产品。在以下的说明中,为了阐明的目的,描述了大量的特定细节,以提供对示例性实施方案的彻底理解。然而,本领域技术人员应当清楚:可在没有这些特定细节的情况下实行本发明。
本专利文件的公开内容的部分含有受到版权保护的材料。版权所有者不反对任何人对专利文件或专利公开内容进行传真复制,因为其出现于专利和商标局的专利档案或纪录中,但除此之外保留所有的版权。以下声明适用于下文所描述和构成本文件的一部分的附图中的任何数据:Copyright Lam Research Corporation,2017-2018,All RightsReserved。
对于各种应用,原子层沉积(ALD)已成为沉积薄膜的重要技术。半导体处理是ALD近期发展的主要动机之一。国际半导体技术发展蓝图(ITRS)已将ALD用于MOSFET结构中的高介电常数栅极氧化物和后段互联件中的铜扩散阻挡层。此外,ALD在其他领域中遇到具挑战性的需求,其包括沉积高质量的电介质以制造用于DRAM的沟槽电容器。半导体工业中的微型化导致需要对薄膜沉积进行原子级控制。微型化产生非常高的深宽比的结构,其需要被保形地涂敷。没有其他薄膜技术可接近由ALD在高深宽比结构上所实现的保形性。半导体装置中连续且无针孔的膜的必要性推动了ALD的发展。在半导体工业之外具有相似的严格要求的其他应用为:用于磁性读/写头的低电子泄漏电介质以及具有低透气性的扩散阻挡涂层。
如上所述,常规的ALD试图使用顺序的、自限性的表面反应以满足原子层控制和保形沉积的需求。大部分的ALD处理是基于二元反应序列,其中两个表面反应发生,并且沉积二元化合物膜。
现今的金属氧化物的ALD总体上导致保形(两种前体的高度暴露)或亚保形(两种前体的低度暴露)的膜。示例性的保形结构100A及100B的剖面图显示于图1A-1B中。在各视图中,通过ALD产生的上覆层102(图1A)以及104-106(图1B)与各自的下伏结构108和110的形状“一致”。在图2中提供了保形结构的进一步视图。在左边的视图中,显示了测试结构200。在使用二氧化硅(SiO2)的ALD之后,在结构200上形成保形层202,如右边的视图所示。
另一方面,“亚保形”膜在特征顶部附近比在底部处厚。亚保形膜层300的示例显示于图3中。可在下伏结构304中于302处见到高深宽比沟槽。膜300的上部305的截面比膜300的下部306更厚,下部306在沟槽302中是较深的。
对于某些半导体应用,“超保形”膜是所期望的。超保形膜在特征底部处比在顶部处厚。超保形膜层400的示例显示于图4。在下方结构404中于402处可再次见到高深宽比沟槽。膜400的上部405的截面比膜400的下部406薄,下部406在沟槽402中是较深的。亚保形和超保形结构的纳米尺寸由在各视图右下角可见的标度308和408所提供。
按常规,特征中的超保形可通过受控的回蚀(etch-back)步骤而实现,或使用等离子体步骤而实现,以上两者皆增加了处理的复杂性及成本,其中该回蚀步骤是在与用于沉积处理的模块不同的模块中执行。
在本公开内容中,提供了替代的轮廓控制方法。一种示例性方法在一方面中包含选择性地抑制特征顶部。这可通过以下方式来实现:使用抑制前体气体(此处也称为抑制剂)的低度暴露,以使前体仅吸附于特征顶部。抑制前体气体可与沉积前体在相同室中加以输送,且需要很少的(或不需要)额外硬件或工具修改。用于金属氧化物沉积的合适的抑制前体可包含螫合剂、二酮(例如乙酰丙酮(HAcAc))、硫醇(例如丁烷硫醇)、醇(例如乙醇)以及膦。其他抑制前体是可能的。
抑制前体的暴露可表示为分压与时间的乘积。诸如三甲铝之类的反应性前体会需要大约10-6托-秒的暴露以在200℃下使羟基化表面饱和。因此,一示例包含在1毫托(mtorr)的分压下的1毫秒配料、或在0.1毫托的分压下的10毫秒配料。在胺封端基表面上的具有低反应性的前体(例如二氯硅烷)通常会需要在400℃下的1托-秒(torr sec)的暴露,以使该表面饱和。
在一些示例中,可将抑制前体气体的低度暴露限定为:小于在平坦表面上实现前体气体饱和所需的最小暴露的1%的暴露水平。以下图表包含这方面的近似低度暴露值。
膜系统 最小暴露
AlMe3+H2O Al2O3 10^-6torr sec
Hf(NMe2)4+H2O–>HfO2 10^-5torr sec
SiH2(Net2)2+O2等离子体SiO2 10^-4torr sec
SiH2Cl2+NH3等离子体SiN 10^-1torr sec
可使用选择性抑制以提供经改善的膜轮廓控制。例如,使用螫合剂(例如HAcAc)的亲和性以黏附于金属氧化物表面,并抑制金属氧化物表面上的沉积,通过ALD而进行的金属氧化物膜的沉积可通过以这种方式(例如,使用抑制剂的低度暴露)使衬底暴露于螫合剂而进行抑制,以限制与场域及沟槽顶部的黏附。
本公开的方法可例如用于远程等离子体系统或电容耦合等离子体系统中。远程等离子体系统(也称为下游等离子体系统或余辉等离子体系统)是使等离子体与材料(例如半导体晶片)交互作用发生在远离等离子体余辉中的等离子体的位置处的一种等离子体系统。
示例性远程等离子体系统700的示意图显示在图7中。该系统包含主处理室702和远程等离子体源704。系统700内还包含气体源714和真空泵716。等离子体706通过远程传送区域708和气体挡板710。室内的材料交互作用发生于等离子体余辉中的位置712处,其远离等离子体源704或在等离子体源704的下游。
远程等离子体系统的另一示例显示于图5中。在此,用于执行使用抑制前体的ALD的ALD反应器被称为同步调节流动与抽出器(SMFD)。在图5中以相应的配料与清扫模式显示这种SMFD反应器500的示意图。SMFD反应器500在清扫模式期间于反应器入口502处注入惰性流动气体,且反应物在配料模式中于入口502处进入反应器。惰性气体在配料模式期间经由反应器出口504而离开反应器500。可在任一阶段期间将抑制前体注入反应器,并且所述暴露可以通过调整交换气体的体积与速度进行控制。反应器入口与反应器出口之间的惰性或抑制流动气体的同步调节能实现高速的气流切换。
本公开内容的方法也可用于一些其他的反应器配置中。例如,用于半导体处理的单晶片ALD反应器可具有不同的气流配置。“横流”反应器具有在整个晶片表面上的平行气流。“喷头”反应器通过分配板将气体以垂直于晶片表面的方式导入反应器。气体接着在整个晶片表面上径向地流动。ALD反应器之间的其他差别可包含热壁与冷壁反应器。在“热壁”反应器中,反应器中的壁、气体以及衬底皆被加热至壁的温度。在“冷壁”反应器中,仅将衬底加热,而壁维持于室温或仅被略微加热。
其他ALD反应器可同时沉积于许多样品上。这些反应器也称为“批量”反应器。其可同时涂敷多个样品,且可大幅缩短涂敷一个样品所需的时间。批量反应器可改善商用ALD处理的成本与时间效益。反应物和清扫时间常数在批量反应器中是较长的,因为反应器体积较大、且多个样品之间的气体传导率(gas conductance)较低。然而,多任务的优点可补偿较长的时间常数。
感应耦合等离子体(ICP)是在等离子体ALD期间的常用等离子体源。等离子体通常在约100-500mTorr的压强下操作。等离子体增强ALD在等离子体反应循环期间没有使用惰性载气而执行。然而,可使用惰性载气或本公开内容的抑制前体以使该等离子体反应循环与常规反应物ALD循环交替进行。
用于执行某些公开实施方案的示例性ICP等离子体反应器600的示意图显示于图6中。反应器600包含如图中所显示及标明的组件部分。这些组件包含例如气体源601、金属前体和抑制剂源602、第一泄漏阀603、反应器室604、石英管605、入口控制阀606、RF线圈607、第二泄漏阀608、涡轮泵609以及四极柱式质谱(QMS)模块610。可根据本文所述方法中的任一者而选择性地使抑制前体602经由入口控制阀606进入反应器室604。
也可在电容耦合等离子体(CCP)系统中执行本公开内容的方法。典型的CCP系统通过单一射频(RF)电源(通常在约13.56MHz)驱动。两电极中的一者连接至电源,而另一者接地。由于该配置在原理上相似于电路中的电容器,因此在该配置中所形成的等离子体被称为电容耦合等离子体。用于执行本方法的示例性CCP系统可包含单站模块或多站模块(也称为四站(quad stations))。
当电场在电极之间产生时,原子被电离并释出电子。气体中的电子经由RF场而加速并可直接或间接通过碰撞而将气体电离,以产生二次电子。当电场够强时,其可引致所谓的电子突崩。在突崩击穿之后,气体因充足的自由电子而变得具导电性。其通常伴随着来自气体中的受激原子或分子的光放射。
用于执行某些公开的实施方案的示例性CCP处理反应器的示意图图示于图8中。该图描绘原子层沉积(ALD)处理站800的实施方案的示意图,该ALD处理站800具有用于维持低压环境的处理室主体802。在共同的低压处理工具环境中可包含多个ALD处理站800。在一些实施方案中,ALD处理站800的一或更多硬件参数(包含以下所详细讨论者)可通过一或多个计算机控制器850(也在下述中进一步讨论)以编程方式调整。
ALD处理站800与输送系统801a流体连通,以将处理气体输送至分布喷头806。反应物输送系统801a包含用以混合和/或调节处理气体(例如金属氨化物、金属烷氧化物、或硅氨化物气体、或如上所界定的抑制性前体气体)的混合容器804,以用于输送至喷头806。一或更多混合容器入口阀820可控制处理气体导入至气体混合容器804。
举例而言,图8的实施方案包含用于汽化液体反应物的汽化点803,该反应物待供应至混合容器804。在一些实施方案中,汽化点803可为经加热的汽化器。自该汽化器产生的饱和反应物蒸气可能于下游输送管线中凝结。在一些实施方案中,汽化点803下游的输送管线可以是有热迹线的(heat traced)。在一些示例性中,混合容器804也可以是有热迹线的。在一非限制性示例性中,汽化点803下游的管线具有递增的温度轮廓,其由约100℃延伸至混合容器804处的约150℃。
在一些实施方案中,可在液体注入器处将液体前体、或液体抑制前体、或液体反应物汽化。例如,液体注入器可将液体反应物的脉冲注入混合容器上游的载体气体流。在一实施方案中,液体注入器可通过自高压至低压快速汽化液体而使反应物汽化。在另一示例中,液体注入器可将液体雾化为分散的微滴,随后这些微滴在经加热的输送管线中汽化。较小的液滴相比于较大的液滴而可较快地汽化,其使得液体注入与完全汽化之间的延迟减少。较快的汽化可使汽化点803下游的管线长度减小。在一方案中,可将液体注入器直接安装在混合容器804上。在另一方案中,可将液体注入器直接安装在喷头806上。
喷头806将处理气体分布至衬底812。在图8所示的实施方案中,衬底812位于喷头806下方、且显示安置于基座808上。喷头806可具有任何合适外形、且可具有任何合适数目及通口的配置,用以将处理气体分布至衬底812。在一些实施方案中,可将基座808升高或降低以使衬底(或晶片)812暴露于衬底812与喷头806之间的容积空间中。
应理解,在一些实施方案中,可通过合适的计算机控制器850以编程方式调整基座高度。在另一方案中,在等离子体点燃的实施方案的处理中,调整基座808的高度可使得能在等离子体活化期间改变等离子体密度。在处理阶段结束后,可于另一衬底传送阶段期间将基座808降低,以使得衬底812能从基座808移除。在一些实施方案中,基座808可经由加热器810进行温度控制。在一些实施方案中,如公开的实施方案所述,在膜的选择性沉积期间,可将基座808加热至介于约25℃至约400℃之间、或介于约200℃至约300℃之间的温度。在一些实施方案中,将基座设定在介于约25℃至约400℃之间、或介于约200℃至约300℃之间的温度。
另外,在一些实施方案中,可经由蝶形阀818提供对于处理站800的压力控制。如图8的实施方案所示,蝶形阀818调节由下游真空泵(未显示)所提供的真空。然而,在一些实施方案中,亦可藉由改变被导入至处理站800的一或更多气体的流动速率而调整处理站800的压力控制。
在一些实施方案中,可相对于基座808调整喷头806的位置,以改变衬底812与喷头806之间的容积。另外,应理解,可通过本公开内容的范围内的任何合适机构而改变基座808和/或喷头806的竖直位置。在一些实施方案中,基座808可包含旋转轴,其用于转动衬底812的方向。应理解,在一些实施方案中,可通过一个或更多合适的计算机控制器850以编程方式执行这些示例性调整中的一或多者。
在可如上述而使用等离子体的一些实施方案中,喷头806和基座808与射频(RF)电源供应器814和匹配网络816电气通信,以通过电容方式为等离子体供电。在一些实施方案中,可通过控制处理站压力、气体浓度、RF源功率、RF源频率以及等离子体功率脉冲时序中的一或多者而控制等离子体能量。例如,可于任何适当功率下操作RF电源供应器814和匹配网络816,以形成具有所期望的自由基物质成分的等离子体。可选择低等离子体功率以防止衬底表面上的材料溅射。合适功率的示例性为约150W至约6000W。
RF电源供应器814可提供任何适当频率的RF功率。RF电源供应器814可被配置以各自独立地控制高频与低频RF功率源。示例性的低频RF频率可包含(但不限于)介于0kHz与500kHz之间的频率。示例性的高频RF频率可包含(但不限于)介于1.8MHz与2.45GHz之间、或大于约13.56MHz、或大于27MHz、或大于40MHz、或大于60MHz的频率。应理解,可离散地或连续地调节任何适当参数,以针对表面反应提供等离子体能量。
本公开内容还包括示例性的方法。在一示例中,参照图9,金属氧化物沉积中的轮廓控制方法900包含:在902,使前体气体在ALD反应器的室中的多个区域的第一区域中以第一气体流率进入室中,从而以第一沉积速率形成第一膜;以及,在904,在室中的多个区域的相同或第二区域中以第二气体流率输送抑制前体气体,以抑制第一膜的生长。
在一些示例性中,方法900包括:在使前体气体进入室之前,将抑制前体气体输送至室中。在一些示例性中,方法900包括:在使抑制前体气体进入室之前,将前体气体输送至室中。在一些示例性中,方法900包括:在使前体气体进入室的同时,将抑制前体气体输送至室中。在一些示例性中,方法900包括:在多个区域中的一者中以第三气体流率输送第二前体气体,从而以第二沉积速率形成第二膜。在一些示例性中,方法900包括:在使抑制前体气体进入室的同时,输送第二前体气体。在一些示例性中,前体气体包含螫合剂。在一些示例中,螫合剂包含HAcAc、丁烷硫醇、乙醇以及膦中的一或多者。在一些示例性中,方法900包括:以小于在平坦表面上实现前体气体饱和所需的最小暴露的1%的暴露水平输送低度暴露的抑制前体气体。在一些实施方案中,方法900的操作系以不同顺序执行。
参照图10,用于金属氧化物沉积中的轮廓控制的示例性方法1000包括:在1002,将衬底提供至处理室;在1004,使衬底暴露于前体,以在衬底上形成膜;在1006,任选地清扫处理室;在1008,使衬底暴露于抑制前体,以抑制衬底上的膜的至少一部分或轮廓的生长;在1010,任选地清扫处理室;在1012,确定是否已产生期望的膜厚度或轮廓。如果否,则以足够的循环重复操作1004-1012,直到形成期望厚度或轮廓的膜为止。
在一些示例性中,方法1000包括:在使前体进入处理室之前,将抑制前体输送至处理室中。在一些示例性中,方法1000包括:在使抑制前体进入室之前,将前体输送至处理室中。在一些示例性中,方法1000包括:在使前体进入室的同时,将抑制前体输送至处理室中。在一些示例性中,方法1000包括:在多个区域中的一者中输送第二前体,从而以第二沉积速率形成第二膜。在一些示例中,方法1000包括:在使抑制前体进入室的同时,输送第二前体。在一些示例中,前体包含螫合剂。在一些示例性中,螫合剂包含HAcAc、丁烷硫醇、乙醇以及膦中的一或多者。在一些示例性中,方法1000包括:以小于在衬底上实现前体饱和所需的最小暴露的1%的暴露水平输送低度暴露的抑制前体。
在一些实施方案中,方法1000的操作以不同顺序执行,例如可使衬底在暴露于前体之前暴露于抑制前体。
在一些示例中,非瞬时机器可读介质1122所包含在由机器(例如计算机控制器1100)所读取时使机器执行以下操作的指令:包含至少上文所概述的方法900和1000的非限制性示例性操作。
图11为说明计算机控制器1100的示例性的框图,在计算机控制器1100上可实施本文所述的一或更多示例性处理实施方案,或者通过计算机控制器1100可控制本文所述的一或更多示例性处理实施方案。在替代性实施方案中,机器1100可作为独立装置操作,或可连接(例如网络连接)至其他机器。在网络布置中,计算机控制器1100可在服务器-客户端网络环境中的服务器机器、客户端机器、或以上两者的容量中操作。在一示例性中,计算机控制器1100可用作点对点(P2P)网络(或其他的分布式网络)环境中的对等机器。此外,虽然仅显示单一的计算机控制器1100,然而术语“机器”也应视为包含机器(这些机器单独或联合执行一组(或多个组)指令以实行本文所述的方法的任一或多者,例如经由云端运算、软件即服务(SaaS)或其他的计算机集群配置)的任何集合。
本文所述的示例可包含逻辑、若干组件、或机构,或可通过逻辑、若干组件或机构而操作。电路系统是实施于包含硬件(例如简单电路、栅极、逻辑等)的有形实体中的电路集合。电路系统构件可随时间推移及基本硬件可变性而具有灵活性。电路系统包含可以单独或组合的方式在进行操作时执行指定操作的构件。在一示例中,可以固定不可变的方式设计电路系统的硬件以执行特定操作(例如硬连线)。在一示例中,电路系统的硬件可包含可变连接实体组件(例如执行单元、晶体管、简单电路等),其包括经物理方式(例如经磁性方式、经电气方式、通过不变质量粒子的可移动设置等)修改以将特定操作的指令进行编码的计算机可读介质。在连接实体组件时,使硬件组件的基本电气性能改变(例如,从绝缘体变成导体,反之亦然)。指令使嵌入式硬件(例如执行单元或加载机构)能经由可变连接而在硬件中产生电路系统的构件,以在进行操作时执行特定操作的部分。因此,当装置进行操作时,计算机可读介质被通信地耦合至电路的其他组件。在一示例中,实体组件中的任一者可用在多于一个的电路系统中的多于一个的构件中。例如,在操作中,执行单元可在一时间点时用于第一电路系统的第一电路中,而在不同时间时由第一电路系统的第二电路、或由第二电路系统的第三电路所再使用。
计算机控制器(例如计算机系统)1100可包含硬件处理器1102(例如中央处理单元(CPU)、硬件处理器核、或其任何组合)、图形处理单元(GPU)1103、主存储器1104以及静态存储器1106,以上各者中的一些或全部可经由互连(例如总线)1108彼此通信。计算机控制器1100还可包含显示设备1110、字母数字输入装置1112(例如键盘)以及用户接口(UI)导航装置1114(例如鼠标)。在一示例性中,显示设备1110、字母数字输入装置1112以及UI导航装置1114可为触摸屏显示器。计算机控制器1100可另外包含海量储存装置(例如驱动单元)1116、信号产生装置1118(例如扬声器)、网络接口装置1120以及一或更多传感器1121,例如全球定位系统(GPS)传感器、罗盘、加速度计、或另一传感器。计算机控制器1100可包含输出控制器1128(例如串行的(例如通用串行总线(USB))、平行的、或其他有线或无线的(例如红外线(IR)、近场通信(NFC)等)连接),以与一或更多外围装置(例如打印机、卡阅读机等)进行通信、或控制该一或更多外围装置。
海量储存装置1116可包含机器可读介质1122,一或多组数据结构或指令1124(例如软件)可储存于机器可读介质1122上,这些数据结构或指令1124实现本文所述技术或功能中的任一或多者、或被本文所述技术或功能中的任一或多者使用。指令1124也可完全或至少部分地存在于主存储器1104内、静态存储器1106内、硬件处理器1102内、或GPU1103内(在其由计算机控制器1100所执行的期间)。在一示例性中,硬件处理器1102、GPU1103、主存储器1104、静态存储器1106、或海量储存装置1116中的一者或任何组合可构成机器可读介质。
虽然机器可读介质1122被显示为单一的介质,然而术语“机器可读介质”可包含被配置以存储一或更多指令1124的单一介质、或多个介质(例如集中式或分布式数据库和/或相关高速缓存及服务器)。
用语“机器可读介质”可包含:能够储存、编码、或运载用于通过计算机控制器1100执行以及使计算机控制器1100执行本公开内容的技术中的任何一或多者的指令1124的任何介质;或能够储存、编码、或运载由这样的指令1124所使用或与其相关的数据结构的任何介质。非限制性机器可读介质示例性可包含固态存储器以及光学与磁性介质。在一示例性中,海量机器可读介质包含具有多个粒子的机器可读介质1122,该多个粒子具有不变质量(例如静质量)。因此,海量机器可读介质并非瞬时传播信号。海量机器可读介质的特定示例可包含非挥发性存储器,例如半导体存储器装置(例如电子可编程只读存储器(EPROM)、电子抹除式可编程只读存储器(EEPROM)以及快闪存储器装置;磁盘,例如内部硬磁盘及可移动磁盘;磁光盘;以及CD-ROM与DVD-ROM磁盘。可经由网络接口装置1120使用传输介质以通过通信网络1126而进一步发送或接收指令1124。
虽然已参照特定的示例性实施方案而描述实施方案,但显然,可在不偏离本发明的更广泛精神及范围的情况下对这些实施方案进行各种修改及改变。因此,说明书和附图被视为说明性的而非限制性的。构成本文中的一部分的附图以说明(而非限制)的方式显示特定实施方案,可在这些特定实施方案中实践主题。所示实施方案以足够细节进行描述,以使本领域技术人员能够实行本文所公开的教导。可使用其他实施方案及从中产生其他实施方案,使得可在不偏离本公开内容的范围的情况下进行结构与逻辑的替换及变化。因此该具体实施方式不被视为限制性的,且各种实施方案的范围仅由所附的权利要求、以及这些权利要求所赋予的等效方案的全部范围所限定。
本发明主题的这些实施方案在此可单个地和/或共同地由术语“发明”所提及,其仅是为了方便,而非意图将本申请的范围自愿性地限制于任何单一的发明或发明构思(如果事实上公开多于一个的发明或发明构思的话)。因此,虽然本文显示并描述了特定实施方案,但应理解,为实现相同目的而计算的任何配置可替代所示的特定实施方案。本公开内容意图涵盖各种实施方案的任何及所有的调整或变化。在阅读以上说明后,上述实施方案的组合以及本文未具体描述的其他实施方案对于本领域技术人员而言是显而易见的。

Claims (18)

1.一种原子层沉积(ALD)设备,其包含:
室;
前体气体源;
抑制前体气体源;
具有相应的气流路径的一或多个注射器,每一个注射器具有能连接至所述前体气体源或所述抑制前体气体源的入口,且适合于单独地或与另一注射器一起使前体气体在多个区域中的第一区域中以第一气体流率输送至所述室中以便以第一沉积速率形成第一膜,并且
适合于在所述多个区域中的相同区域或第二区域中以第二气体流率输送抑制前体气体以抑制所述第一膜的生长。
2.根据权利要求1所述的ALD设备,其中所述一或多个注射器进一步适合于在使所述前体气体进入所述室之前,将所述抑制前体气体输送至所述室中。
3.根据权利要求1所述的ALD设备,其中所述一或多个注射器进一步适合于在使所述抑制前体气体进入所述室之前,将所述前体气体输送至所述室中。
4.根据权利要求1所述的ALD设备,其中所述一或多个注射器进一步适合于在使所述前体气体进入所述室的同时,将所述抑制前体气体输送至所述室中。
5.根据权利要求1所述的ALD设备,其中所述一或多个注射器进一步适合于在所述多个区域中的一者中以第三气体流率输送第二前体气体,以便以第二沉积速率形成第二膜。
6.根据权利要求5所述的ALD设备,其中所述一或多个注射器进一步适合于在使所述抑制前体气体进入所述室的同时,输送所述第二前体气体。
7.根据权利要求1所述的ALD设备,其中所述前体气体包含螫合剂。
8.根据权利要求1所述的ALD设备,其中所述螫合剂包含HAcAc、丁烷硫醇、乙醇以及膦中的一或多者。
9.根据权利要求1所述的ALD设备,其中所述一或多个注射器进一步适合于以小于在平坦表面上实现所述前体气体的饱和所需的最小暴露的1%的暴露水平输送低度暴露的抑制前体气体。
10.一种用于原子层沉积(ALD)中的轮廓控制的方法,其包括:
使前体气体在ALD反应器的室中的多个区域的第一区域中以第一气体流率进入所述室中,以便以第一沉积速率形成第一膜;以及
在所述室中的所述多个区域的相同区域或第二区域中以第二气体流率输送抑制前体气体,以抑制所述第一膜层的成长。
11.根据权利要求10所述的方法,其还包括:在使所述前体气体进入所述室之前,将所述抑制前体气体输送至所述室中。
12.根据权利要求10所述的方法,其还包括:在使所述抑制前体气体进入所述室之前,将所述前体气体输送至所述室中。
13.根据权利要求10所述的方法,其还包括:在使所述前体气体进入所述室的同时,将所述抑制前体气体输送至所述室中。
14.根据权利要求10所述的方法,其还包括:在所述多个区域中的一者中以第三气体流率输送第二前体气体,以在所述室中以第二沉积速率形成第二膜。
15.根据权利要求14所述的方法,其还包括:在使所述抑制前体气体进入所述室的同时,输送所述第二前体气体。
16.根据权利要求10所述的方法,其还包括:在所述前体气体中包含螫合剂。
17.根据权利要求16所述的方法,其还包括:在所述螫合剂中包含HAcAc、丁烷硫醇、乙醇以及膦中的一或多者。
18.根据权利要求10所述的方法,其还包括:以小于在平坦表面上实现所述前体气体的饱和所需的最小暴露的1%的暴露水平输送所述抑制前体气体。
CN201880090055.2A 2017-12-28 2018-12-14 使用化学抑制对膜进行保形性调节 Pending CN111742077A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201762611541P 2017-12-28 2017-12-28
US62/611,541 2017-12-28
US15/962,953 US10843618B2 (en) 2017-12-28 2018-04-25 Conformality modulation of metal oxide films using chemical inhibition
US15/962,953 2018-04-25
PCT/US2018/065825 WO2019133303A1 (en) 2017-12-28 2018-12-14 Conformality modulation of films using chemical inhibition

Publications (1)

Publication Number Publication Date
CN111742077A true CN111742077A (zh) 2020-10-02

Family

ID=67068098

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880090055.2A Pending CN111742077A (zh) 2017-12-28 2018-12-14 使用化学抑制对膜进行保形性调节

Country Status (7)

Country Link
US (1) US10843618B2 (zh)
JP (1) JP7362621B2 (zh)
KR (1) KR20200094799A (zh)
CN (1) CN111742077A (zh)
SG (1) SG11202006145WA (zh)
TW (1) TWI800587B (zh)
WO (1) WO2019133303A1 (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110473769A (zh) * 2018-05-11 2019-11-19 圆益Ips股份有限公司 薄膜形成方法
JP7249930B2 (ja) * 2019-11-20 2023-03-31 東京エレクトロン株式会社 成膜方法および成膜装置

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101040371A (zh) * 2004-08-16 2007-09-19 阿维扎技术公司 用于形成多组分介电薄膜的直接液体注入系统和方法
CN102112658A (zh) * 2008-05-20 2011-06-29 阿斯莫国际公司 用于高生产量原子层沉积的设备和方法
US20160035566A1 (en) * 2014-07-30 2016-02-04 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ald system
CN106057637A (zh) * 2015-04-03 2016-10-26 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜
CN107208262A (zh) * 2014-11-21 2017-09-26 应用材料公司 醇类辅助ald膜沉积

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US8637123B2 (en) * 2009-12-29 2014-01-28 Lotus Applied Technology, Llc Oxygen radical generation for radical-enhanced thin film deposition
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
KR102100520B1 (ko) 2012-03-27 2020-04-14 노벨러스 시스템즈, 인코포레이티드 핵생성 억제를 사용하는 텅스텐 피처 충진
JP6142676B2 (ja) 2013-05-31 2017-06-07 セントラル硝子株式会社 ドライエッチング方法、ドライエッチング装置、金属膜及びそれを備えたデバイス
US10103057B2 (en) * 2014-11-11 2018-10-16 The Board Of Trustees Of The University Of Illinois Use of an inhibitor molecule in chemical vapor deposition to afford deposition of copper on a metal substrate with no deposition on adjacent SIO2 substrate
JP6529371B2 (ja) 2015-07-27 2019-06-12 東京エレクトロン株式会社 エッチング方法及びエッチング装置
WO2017070190A1 (en) 2015-10-23 2017-04-27 Applied Materials, Inc. Bottom-up gap-fill by surface poisoning treatment
JP6573575B2 (ja) 2016-05-02 2019-09-11 東京エレクトロン株式会社 凹部の埋め込み方法
KR102378021B1 (ko) * 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101040371A (zh) * 2004-08-16 2007-09-19 阿维扎技术公司 用于形成多组分介电薄膜的直接液体注入系统和方法
CN102112658A (zh) * 2008-05-20 2011-06-29 阿斯莫国际公司 用于高生产量原子层沉积的设备和方法
US20160035566A1 (en) * 2014-07-30 2016-02-04 Lam Research Corporation Methods and apparatuses for showerhead backside parasitic plasma suppression in a secondary purge enabled ald system
CN107208262A (zh) * 2014-11-21 2017-09-26 应用材料公司 醇类辅助ald膜沉积
CN106057637A (zh) * 2015-04-03 2016-10-26 朗姆研究公司 通过原子层沉积和原子层蚀刻沉积共形膜

Also Published As

Publication number Publication date
US20190203354A1 (en) 2019-07-04
WO2019133303A1 (en) 2019-07-04
JP7362621B2 (ja) 2023-10-17
TW201936975A (zh) 2019-09-16
TWI800587B (zh) 2023-05-01
KR20200094799A (ko) 2020-08-07
US10843618B2 (en) 2020-11-24
SG11202006145WA (en) 2020-07-29
JP2021509444A (ja) 2021-03-25

Similar Documents

Publication Publication Date Title
CN108425100B (zh) 氧化硅的选择性沉积
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
CN109913852B (zh) 抑制喷头背面寄生等离子体的方法和装置
CN100590819C (zh) 等离子体增强原子层沉积方法和包含由此形成的膜的半导体器件
CN100585818C (zh) 等离子体增强原子层沉积方法和由其制造的半导体器件
KR102414851B1 (ko) 증착 레이트 균일성을 향상시키고 기판 프로세싱 시스템들에서의 디펙트들을 감소시키기 위한 시스템들 및 방법들
US10526700B2 (en) Hardware and process for film uniformity improvement
CN108735577B (zh) 选择性沉积用于互连的wcn阻挡/粘附层
JP2020510314A (ja) 酸化シリコンの存在下でのシリコン表面上の酸化シリコンまたは窒化シリコンの選択的成長
TW201738925A (zh) 用以提高基板處理系統之電漿中的電子密度位準之系統及方法
TW201700786A (zh) 超薄原子層沉積膜精度厚度控制
SG195494A1 (en) Carbon deposition-etch-ash gap fill process
CN104831255A (zh) 基板处理方法和基板处理装置
CN111886689A (zh) 无倒角通孔集成方案
CN105097459A (zh) 等离子体处理方法及等离子体处理装置
TW202113142A (zh) 原子層沉積期間之膜特性的原位控制
CN111742077A (zh) 使用化学抑制对膜进行保形性调节
US10431451B2 (en) Methods and apparatuses for increasing reactor processing batch size
US20210395885A1 (en) Throughput improvement with interval conditioning purging
CN111819659A (zh) 基于蚀刻残渣的抑制剂的选择性处理
CN114651088A (zh) 具有射频功率渐变的等离子体增强原子层沉积
WO2022212202A1 (en) In-situ film annealing in substrate processing
WO2019089555A1 (en) Methods and apparatuses for increasing reactor processing batch size

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination