KR102414851B1 - 증착 레이트 균일성을 향상시키고 기판 프로세싱 시스템들에서의 디펙트들을 감소시키기 위한 시스템들 및 방법들 - Google Patents

증착 레이트 균일성을 향상시키고 기판 프로세싱 시스템들에서의 디펙트들을 감소시키기 위한 시스템들 및 방법들 Download PDF

Info

Publication number
KR102414851B1
KR102414851B1 KR1020150097509A KR20150097509A KR102414851B1 KR 102414851 B1 KR102414851 B1 KR 102414851B1 KR 1020150097509 A KR1020150097509 A KR 1020150097509A KR 20150097509 A KR20150097509 A KR 20150097509A KR 102414851 B1 KR102414851 B1 KR 102414851B1
Authority
KR
South Korea
Prior art keywords
valve
mode
liquid precursor
fluid communication
injector
Prior art date
Application number
KR1020150097509A
Other languages
English (en)
Other versions
KR20160008967A (ko
Inventor
아를 다스
브래넌 캘리
재스윈더 길리아니
아크힐 싱할
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20160008967A publication Critical patent/KR20160008967A/ko
Application granted granted Critical
Publication of KR102414851B1 publication Critical patent/KR102414851B1/ko

Links

Images

Classifications

    • H01L21/205
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Analytical Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 시스템들 및 방법들은 액체 전구체 소스와 유체 연통하는 제 1 밸브를 사용하여 액체 전구체를 공급하는 단계; 퍼지 가스 소스와 유체 연통하는 제 2 밸브를 사용하여 퍼지 가스를 공급하는 단계; 제 1 밸브의 출력 포트와 유체 연통하는 제 1 입력 포트 및 제 2 밸브의 출력 포트와 유체 연통하는 제 2 입력 포트를 갖는 제 3 밸브를 배치하는 단계; 제 3 밸브의 출력 포트와 유체 연통하는 제 1 방향 전환 주입기 밸브의 입력 포트를 배열하는 단계; 및 제 1 밸브, 제 2 밸브, 제 3 밸브, 및 제 1 방향 전환 주입기 밸브를 제 1 모드, 제 2 모드, 제 3 모드, 및 제 4 모드에서 동작시키는 단계를 포함한다.

Description

증착 레이트 균일성을 향상시키고 기판 프로세싱 시스템들에서의 디펙트들을 감소시키기 위한 시스템들 및 방법들{SYSTEMS AND METHODS FOR IMPROVING DEPOSITION RATE UNIFORMITY AND REDUCING DEFECTS IN SUBSTRATE PROCESSING SYSTEMS}
본 개시는 기판 프로세싱 시스템들, 보다 구체적으로 디펙트들을 감소시키고 층간 유전체들의 증착 레이트 균일성을 향상시키기 위한 시스템들 및 방법들에 관한 것이다.
본 명세서에 제공된 배경 기술 설명은 일반적으로 본 개시의 맥락을 제공하기 위한 것이다. 본 발명자들의 성과로서 본 배경 기술 섹션에 기술되는 정도의 성과 및 출원시 종래 기술로서 인정되지 않을 수도 있는 기술의 양태들은 본 개시에 대한 종래 기술로서 명시적으로나 암시적으로 인정되지 않는다.
기판 프로세싱 시스템들은 기판 상에 막을 증착하도록 사용된다. 예를 들어, 기판 프로세싱 시스템은 CVD (chemical vapor deposition), PE (plasma-enhanced) CVD, ALD (atomic layer deposition), PEALD 등을 수행할 수도 있다. 증착은 프로세싱 챔버에 가스 혼합물을 공급하여 수행될 수도 있다. 가스 혼합물은 함께 혼합되는 하나 이상의 가스들을 포함할 수도 있다.
일부 환경들에서, 액체 전구체는 캐리어 가스로 기화될 수도 있다. 액체 전구체의 정확한 계량은 적절한 가스 혼합물이 프로세싱 챔버 내에서 형성되는 것을 보장하도록 수행된다. 디바이스 크기가 계속 감소함에 따라, 증착 레이트 균일성을 향상시키고 디펙트들을 감소시키는 것이 기판 프로세싱 시스템들에 있어서 보다 중요하게 된다.
기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법은 액체 전구체 소스와 유체 연통하는 제 1 밸브를 사용하여 액체 전구체를 공급하는 단계; 퍼지 가스 소스와 유체 연통하는 제 2 밸브를 사용하여 퍼지 가스를 공급하는 단계; 제 1 밸브의 출력 포트와 유체 연통하는 제 1 입력 포트 및 제 2 밸브의 출력 포트와 유체 연통하는 제 2 입력 포트를 갖는 제 3 밸브를 배열하는 단계; 제 3 밸브의 출력 포트와 유체 연통하는 제 1 방향 전환 주입기 밸브의 입력 포트를 배열하는 단계; 및 제 1 밸브, 제 2 밸브, 제 3 밸브 및 제 1 방향 전환 주입기 밸브를 제 1 모드, 제 2 모드, 제 3 모드 및 제 4 모드에서 동작시키는 단계를 포함한다. 액체 전구체에 대한 요청이 없을 때, 방법은 제 1 모드로 설정하는 단계, 제 1 밸브를 폐쇄하는 단계, 제 2 밸브를 개방하는 단계, 제 3 밸브에 의해 퍼지 가스를 공급하는 단계; 및 제 1 방향 전환 주입기 밸브를 개방하는 단계를 포함한다. 액체 전구체에 대한 요청이 있을 때, 방법은 연속하여 제 2 모드, 제 3 모드 및 제 4 모드로 설정하는 단계를 포함한다. 제 2 모드로 설정하는 단계는 제 1 밸브, 제 2 밸브, 제 3 밸브 및 제 1 방향 전환 주입기 밸브를 폐쇄하는 단계를 포함한다. 제 3 모드로 설정하는 단계는 제 1 밸브, 제 2 밸브, 제 3 밸브를 폐쇄하는 단계 및 제 1 방향 전환 주입기 밸브를 개방하는 단계를 포함한다. 제 4 모드로 설정하는 단계는 제 1 밸브를 개방하는 단계, 제 2 밸브를 폐쇄하는 단계, 제 3 밸브를 사용하여 액체 전구체를 공급하는 단계; 및 제 1 방향 전환 주입기 밸브를 폐쇄하는 단계를 포함한다.
다른 특징들에서, 방법은 제 3 밸브의 출력 포트와 유체 연통하는 제 1 주입기 밸브의 입력 포트 및 가열된 주입기 매니폴드와 유체 연통하는 제 1 주입기 밸브의 출력 포트를 배열하는 단계를 포함한다.
다른 특징들에서, 제 2 방향 전환 주입기 밸브는 제 3 밸브의 출력 포트와 유체 연통하는 입력 포트를 갖는다. 제 2 주입기 밸브는 제 3 밸브의 출력 포트와 유체 연통하는 입력 포트 및 가열된 주입기 매니폴드와 유체 연통하는 출력 포트를 갖는다.
다른 특징들에서, 방법은 제 1 모드, 제 2 모드, 제 3 모드 및 제 4 모드 동안 제 1 주입기 밸브를 폐쇄하는 단계를 포함한다. 방법은 액체 전구체를 가열된 주입기 매니폴드에 선택적으로 공급하도록, 제 4 모드 후에 제 5 모드 동안 제 1 주입기 밸브를 선택적으로 개방하는 단계를 포함한다. 방법은 액체 전구체의 전달이 불필요할 때 제 1 모드로 선택적으로 복귀하는 단계를 포함한다.
다른 특징들에서, 액체 전구체는 테트라에틸 오소실리케이트 (TEOS; tetraethyl orthosilicate) 를 포함한다. 퍼지 가스는 헬륨을 포함한다. 방법은 기판 프로세싱 시스템을 사용하여 PECVD (plasma-enhanced chemical vapor deposition) 를 수행하는 단계를 포함한다. 액체 전구체는 테트라에틸 오소실리케이트 (TEOS) 를 포함하고 퍼지 가스는 헬륨을 포함한다.
다른 특징들에서, 방법은 기판 상에 층간 유전체 (ILD; interlayer dielectric) 를 증착하는 단계를 포함한다.
기판 프로세싱 시스템을 위한 액체 전구체 전달 시스템은 액체 전구체 소스와 유체 연통하는 제 1 밸브를 포함한다. 제 2 밸브는 퍼지 가스 소스와 유체 연통한다. 제 3 밸브는 제 1 밸브의 출력 포트와 유체 연통하는 제 1 입력 포트 및 제 2 밸브의 출력 포트와 유체 연통하는 제 2 입력 포트를 갖는다. 제 1 방향 전환 주입기 밸브는 제 2 밸브의 출력 포트와 유체 연통하는 입력 포트를 갖는다. 제어기는 제 1 밸브, 제 2 밸브, 제 3 밸브 및 제 1 방향 전환 주입기 밸브를 제 1 모드, 제 2 모드, 제 3 모드 및 제 4 모드에서 동작시키도록 구성된다. 액체 전구체에 대한 요청이 없을 때, 제어기는 제 1 모드로 설정하고 그리고 제 1 밸브를 폐쇄하고, 제 2 밸브를 개방하고, 제 3 밸브를 사용하여 퍼지 가스를 공급하고 그리고 제 1 방향 전환 주입기 밸브를 개방한다. 액체 전구체에 대한 요청이 있을 때, 제어기는 연속하여 제 2 모드, 제 3 모드 및 제 4 모드로 설정한다. 제어기는 제 1 밸브, 제 2 밸브, 제 3 밸브 및 제 1 방향 전환 주입기 밸브를 폐쇄하여 제 2 모드로 설정한다. 제어기는 제 1 밸브, 제 2 밸브 및 제 3 밸브를 폐쇄하고, 그리고 제 1 방향 전환 주입기 밸브를 개방하여 제 3 모드로 설정한다. 제어기는 제 1 밸브를 개방하고, 제 2 밸브를 폐쇄하고, 제 3 밸브에 의해 액체 전구체를 공급하고 그리고 제 1 방향 전환 주입기 밸브를 폐쇄하여 상기 제 4 모드로 설정한다.
다른 특징들에서, 제 1 주입기 밸브는 제 3 밸브의 출력 포트와 유체 연통하는 입력 포트 및 가열된 주입기 매니폴드와 유체 연통하는 출력 포트를 갖는다.
다른 특징들에서, 제 2 방향 전환 주입기 밸브는 제 3 밸브의 출력 포트와 유체 연통하는 입력 포트를 갖는다. 제 2 주입기 밸브는 제 3 밸브의 출력 포트와 유체 연통하는 입력 포트 및 가열된 주입기 매니폴드와 유체 연통하는 출력 포트를 갖는다.
다른 특징들에서, 제어기는 제 1 모드, 제 2 모드, 제 3 모드 및 제 4 모드 동안 제 1 주입기 밸브를 폐쇄하도록 구성된다.
다른 특징들에서, 제어기는 액체 전구체를 가열된 주입기 매니폴드에 선택적으로 공급하도록, 제 4 모드 후에 제 5 모드 동안 제 1 주입기 밸브를 선택적으로 개방하도록 구성된다. 제어기는 액체 전구체의 전달이 불필요할 때 제 1 모드로 선택적으로 복귀하도록 구성된다.
다른 특징들에서, 액체 전구체는 테트라에틸 오소실리케이트 (TEOS) 를 포함한다. 퍼지 가스는 헬륨을 포함한다.
기판 프로세싱 시스템은 액체 전구체 전달 시스템을 포함한다. 프로세싱 챔버는 기판을 공급하도록 페데스탈 (pedestal) 을 포함한다. 플라즈마 생성기는 프로세싱 챔버에서 선택적으로 플라즈마를 생성한다.
다른 특징들에서, 기판 프로세싱 시스템은 PECVD (plasma-enhanced chemical vapor deposition) 를 수행한다. 액체 전구체는 테트라에틸 오소실리케이트 (TEOS) 를 포함하고 퍼지 가스는 헬륨을 포함한다. 기판 프로세싱 시스템은 기판 상에 층간 유전체 (ILD) 를 증착하도록 사용된다.
본 개시의 적용 가능성의 추가의 영역들은 상세한 기술, 청구항들 및 도면들로부터 분명해질 것이다. 상세한 기술 및 구체적인 예들은 오직 예시의 목적들을 위해 의도된 것이고 본 개시의 범위를 제한하도록 의도되지 않는다.
본 개시는 상세한 기술 및 첨부한 도면들로부터 보다 완전히 이해될 것이다.
도 1a는 기판 프로세싱 시스템을 위한 예시적인 액체 전구체 전달 시스템의 기능 블록도이다.
도 1b는 제 1 모드로 배열된 밸브들을 가진 도 1a의 액체 전구체 전달 시스템의 기능 블록도이다.
도 1c는 제 2 모드로 배열된 밸브들을 가진 도 1a의 액체 전구체 전달 시스템의 기능 블록도이다.
도 1d는 제 3 모드로 배열된 밸브들을 가진 도 1a의 액체 전구체 전달 시스템의 기능 블록도이다.
도 2a는 본 개시에 따라 제 1 모드로 배열된 밸브들을 가진 기판 프로세싱 시스템을 위한 예시적인 액체 전구체 전달 시스템의 기능 블록도이다.
도 2b는 본 개시에 따라 제 2 모드로 배열된 밸브들을 가진 예시적인 액체 전구체 전달 시스템의 기능 블록도이다.
도 2c는 본 개시에 따라 제 3 모드로 배열된 밸브들을 가진 예시적인 액체 전구체 전달 시스템의 기능 블록도이다.
도 2d는 본 개시에 따라 제 4 모드로 배열된 밸브들을 가진 예시적인 액체 전구체 전달 시스템의 기능 블록도이다.
도 3은 본 개시에 따라 액체 전구체 전달 시스템을 제어하기 위한 예시적인 방법을 예시한다.
도 4는 본 개시에 따라 액체 전구체 전달 시스템을 포함하는 기판 프로세싱 시스템의 예를 예시한다.
도면들에서, 참조 부호들은 유사하고 그리고/또는 동일한 엘리먼트들 (element) 을 식별하도록 재사용될 수도 있다.
층간 유전체들 (ILD들) 을 다루는 종래의 기판 프로세싱 시스템들은 통상적으로 전달 라인들에서 헬륨과 테트라에틸 오소실리케이트 (TEOS) 액을 혼합한다. 라인들 내의 헬륨 및 TEOS 혼합물은 후 세정, 완전한 플러시 루틴들 동안 마이크로-버블들을 생성한다. 얇은 막 증착 레이트들은 TEOS 내의 헬륨의 양에 매우 민감하다. TEOS 공급 도관에서 (마이크로-버블들을 가진) TEOS 및 헬륨 혼합물을 제거하기 위해서, 프라이밍 (priming) 이 수행될 수도 있다. 프라이밍은 증착을 시작하기 전에 도관 내의 TEOS 및 헬륨 혼합물을 밀어내도록 후레시한 (fresh) TEOS 액체를 사용하는 것을 수반한다. 대규모 TEOS 프라이밍은 증가된 디펙트들을 초래한다. 도관 내의 잔류 헬륨 마이크로-버블들의 존재는 불안정한 막 증착 레이트들을 초래한다.
본 개시에 따른 시스템들 및 방법들은 공급 도관들에서 TEOS 및 헬륨의 혼합을 상당히 제거한다. 결과적으로, 액체 TEOS 프라이밍에 대한 필요 조건은 최소화된다. 본 개시에 따른 시스템들 및 방법들은 디펙트들을 감소시키고 증착 레이트 균일성을 향상시킨다.
이제 도 1a를 참조하면, 액체 전구체 전달 시스템 (10) 의 예가 도시된다. 액체 전구체 전달 시스템 (10) 은 밸브들 (16 및 18) 과 유체 연통하는 액체 전구체 소스 (14) 를 포함한다. 밸브 (18) 는 2개의 입력 포트들 및 하나의 출력 포트를 가질 수도 있다. 밸브 (18) 는 폐쇄 위치, 액체 전구체 공급 위치 및 퍼지 가스 공급 위치를 가질 수도 있다. 밸브 (18) 의 출력 포트는 주입기 밸브들 (20 및 22) 및 방향 전환 주입기 밸브들 (34 및 38) 과 유체 연통한다.
주입기 밸브들 (20 및 22) 의 출력부들은 가열된 주입기 매니폴드 (39) 와 유체 연통한다. 가열된 주입기 매니폴드 (39) 의 출력부는 기판 프로세싱 시스템과 같은 프로세스 (40) 에 대한 입력부이다. 비활성 가스 공급부 (44) 는 스크러브된 (scrubbed) 배기 시스템과 유체 연통될 수도 있는, 도관 (49) 으로 밸브 (48) 를 사용하여 비활성 가스를 공급한다. 방향 전환 주입기 밸브들 (34 및 38) 의 출력 포트들은 도관 (49) 에 연결된다.
이제 도 1b를 참조하면, 도 1a의 액체 전구체 전달 시스템은 액체 전구체의 공급이 불필요할 때 제 1 모드로 배열된 밸브들을 갖고서 도시된다. 제 1 모드에서, 밸브 (16) 는 폐쇄되고, 밸브 (18) 는 퍼지 가스 플로우를 허용하며, 밸브 (24) 는 개방되고 방향 전환 주입기 밸브들 (34 및 38) 은 개방된다. 액체 전구체가 공급되지 않을 때, 퍼지 가스는 밸브들 (24 및 18) 을 통해 흐르고 방향 전환 주입기 밸브들 (34 및 38) 에 의해 도관 (49) 으로 방향 전환된다.
이제 도 1c 및 도 1d를 참조하면, 도 1a의 액체 전구체 전달 시스템은 액체 전구체에 대한 요청이 제어기 (50) 에 의해 수용될 때 제 2 모드 (도 1c) 및 제 3 모드 (도 1d) 로 연속으로 배열된 밸브들을 갖고서 도시된다. 도 1c에서, 밸브 (24) 는 폐쇄되고, 밸브 (18) 는 액체 전구체를 공급하도록 배치되며, 밸브 (16) 는 개방되고 방향 전환 주입기 밸브들 (34 및 38) 은 폐쇄된다.
일부 예들에서, 퍼지 가스 공급부의 압력은 액체 전구체 공급부의 압력보다 보다 높다. 단지 예를 들면, 퍼지 가스 공급부의 압력은 약 55 psi일 수도 있고 액체 전구체 공급부의 압력은 퍼지 가스 공급부의 압력보다 보다 낮다. 밸브들이 제 2 모드로 설정될 때, 퍼지 가스는 퍼지 가스의 보다 높은 압력에 기인하여 액체 전구체 내로 역류하는 경향이 있다. 결과적으로, 마이크로버블들이 액체 전구체 내에 생성될 수도 있다.
도 1d에서, 도 1a의 액체 전구체 전달 시스템은 제 3 모드로 배열된 밸브들을 갖고서 도시된다. 밸브 (24) 는 폐쇄되고, 밸브 (18) 는 액체 전구체를 공급하도록 배치되며, 밸브 (16) 는 개방되고 그리고 방향 전환 주입기 밸브들 (34 및 38) 은 개방된다. 사전 결정된 양의 액체 전구체는 라인들을 프라이밍하도록 (prime) 방향 전환 주입기 밸브들 (34 및 38) 을 통해 흐른다. 예를 들어, TEOS와 같은 액체 전구체의 약 15 ml가 라인들을 프라이밍하도록 사용될 수도 있다. 그 후에, 방향 전환 주입기 밸브들 (34 및 38) 은 폐쇄된다.
제 1 모드, 제 2 모드 및 제 3 모드 동안, 주입기 밸브들 (20 및 22) 은 폐쇄된다. 제 3 모드 후에, 액체 전구체는 가열된 주입기 매니폴드 (39) 에 공급되도록 준비된다. 예를 들어, 주입기 밸브들 (20 및 22) 은 액체 전구체를 가열된 주입기 매니폴드 (39) 에 주입하도록 필요에 따라 선택적으로 동작될 수도 있다.
이해될 수 있는 바와 같이, 제어기 (50) 는 상기에 기술된 바와 같은 밸브들 (16, 18, 20, 22, 24, 34, 38 및 48) 의 동작을 제어하도록 사용될 수도 있다.
이제 도 2a를 참조하면, 액체 전구체 전달 시스템 (100) 이 도시된다. 액체 전구체 전달 시스템 (100) 은 도 1a 내지 도 1d에 도시된 시스템과 유사하다. 그러나, 제어기 (150) 는 밸브들을 상이하게 동작시킨다. 도 2a에 도시된 밸브들은 액체 전구체가 전달되지 않을 때 배열된다. 퍼지 가스는 퍼지 가스 공급부 (23) 에 의해 밸브 (24), 밸브 (18), 및 방향 전환 주입기 밸브들 (34 및 38) 을 통해 도관 (49) 에 공급된다.
이제 도 2b 내지 도 2d를 참조하면, 액체 전구체 전달 시스템 (100) 은 액체 전구체에 대한 요청에 응답하여 연속으로 발생하는 제 2 모드, 제 3 모드 및 제 4 모드로 배열된 밸브들을 갖고서 도시된다. 도 2b에서, 액체 전구체 전달 시스템 (100) 은 제 2 모드로 배열된 밸브들을 갖고서 도시된다. 제 2 모드에서, 밸브들 (16, 18 및 24) 은 폐쇄된다. 퍼지 가스는 방향 전환 주입기 밸브들 (34 및 38) 과 밸브 (18) 의 출력 포트 사이에 갇힌다 (trap). 도관의 이 부분들에서 어떠한 액체 전구체도 없고, 따라서 마이크로버블들이 생성되지 않는다.
도 2c에서, 액체 전구체 전달 시스템 (100) 은 제 3 모드로 배열된 밸브들을 갖고서 도시된다. 밸브들 (16, 18, 24) 은 폐쇄되고 방향 전환 주입기 밸브들 (34 및 38) 은 개방된다. 제 2 모드 동안 갇혔던 퍼지 가스는 제 3 모드에서 도관 (49) 내로 흐른다.
도 2d에서, 액체 전구체 전달 시스템 (100) 은 제 4 모드로 배열된 밸브들을 갖고서 도시된다. 밸브 (16) 는 개방되고, 밸브 (18) 는 액체 전구체를 공급하며, 밸브 (24) 는 폐쇄되고 그리고 방향 전환 주입기 밸브들 (34 및 38) 은 폐쇄된다. 액체 전구체는 액체 전구체 공급부 (14) 와 주입기 밸브들 (20, 22, 34, 및 38) 의 입력 포트들 사이의 도관 내로 흐른다. 액체 전구체 전달 시스템 (100) 은 주입기 밸브들 (20 및 22) 을 사용하여 액체 전구체를 공급하도록 준비된다.
이제 도 3을 참조하면, 액체 전구체 전달 시스템을 제어하기 위한 예시적인 방법 (200) 이 도시된다. 방법은 제 1 모드로 이미 배열된 밸브들을 갖고서 시작점에서 도시된다. 204에서, 제어는 액체 전구체에 대한 요청이 있는지의 여부를 결정한다. 204가 참이라면, 제어는 208로 진행되고 어떤 퍼지 가스 또는 액체 전구체도 공급하지 않도록 퍼지 가스와 연관된 밸브 (24) 를 폐쇄하고, 밸브 (16) 를 폐쇄하고, 공통 밸브 (18) 를 폐쇄하며, 그리고 방향 전환 주입기 밸브들 (34 및 38) 을 폐쇄한다 (예를 들어, 제 2 모드). 216에서, 제어는 어떤 퍼지 가스 또는 액체 전구체도 공급하지 않도록 퍼지 가스와 연관된 밸브 (24) 를 폐쇄하고, 액체 전구체와 연관된 밸브 (16) 를 폐쇄하고, 공통 밸브 (18) 를 배치하며, 그리고 방향 전환 주입기 밸브들 (34 및 38) 을 개방한다 (예를 들어, 제 3 모드).
224에서, 제어는 액체 전구체를 공급하도록 액체 전구체와 연관된 밸브 (16) 를 개방하고, 공통 밸브 (18) 를 배치하며, 그리고 방향 전환 주입기 밸브들 (34 및 38) 을 폐쇄한다 (예를 들어, 제 4 모드). 228에서, 제어는 주입기 밸브들 (20 및 22) 을 사용하여 필요에 따라 가열된 주입기 매니폴드 (39) 에 액체 전구체를 선택적으로 공급한다. 232에서, 제어는 액체 전구체에 대한 요청이 종료되었는지의 여부를 결정한다. 232가 참이라면, 제어부는 밸브 (24) 를 개방하고, 퍼지 가스를 흘리도록 밸브 (18) 를 배치하고, 밸브 (16) 를 개방하며 그리고 방향 전환 주입기 밸브들 (34 및 38) 을 폐쇄한다. 이해될 수 있는 바와 같이, 제어는 결정을 허용하도록 필요에 따라 하나 이상의 단계들 (208, 216 및/또는 224) 사이의 하나 이상의 사전 결정된 기간들을 기다릴 수도 있다.
이제 도 4를 참조하면, 압력-기반 액체 플로우 제어 시스템과 함께 사용된 기판 프로세싱 시스템 (310) 의 예가 도시된다. 일부 예들에서, 기판 프로세싱 시스템은 ALD (atomic layer deposition), PE (plasma-enhanced) ALD, CVD (chemical vapor deposition), 또는 PECVD를 수행하도록 사용된다.
기판 프로세싱 시스템 (310) 은 프로세싱 챔버 (312) 를 포함하도록 도시된다. 가스는 샤워헤드 (showerhead) 또는 다른 디바이스와 같은 가스 분배 디바이스 (314) 를 사용하여 프로세싱 챔버에 공급될 수도 있다. 반도체 웨이퍼와 같은 기판 (318) 은 프로세싱 동안 페데스탈 (316) 상에 배열될 수도 있다. 페데스탈 (316) 은 정전기 척 (chuck), 기계 척 또는 다른 유형의 척일 수도 있다.
가스 전달 시스템 (320) 은 하나 이상의 가스 소스들 (322-1, 322-2, ..., 및 322-N) (총체적으로 가스 소스들 (322)) 을 포함할 수도 있고, 여기서 N은 1 초과의 정수이다. 값들 (324-1, 324-2, ..., 및 324-N), 질량 유량 제어기들 (326-1, 326-2, ..., 및 326-N), 또는 다른 유량 제어 디바이스들이, 프로세싱 챔버 (312) 에 가스 혼합물을 공급하는, 매니폴드 (330) 에 선택된 가스 혼합물을 제어 가능하게 공급하도록 사용될 수도 있다. 매니폴드 (330) 는 또한 가열된 주입기 매니폴드 (39) 의 출력을 수용한다.
제어기 (340) 는 온도, 압력 및 프로세스 타이밍과 같은 프로세스 파라미터들을 모니터링하도록 사용될 수도 있다. 제어기 (340) 는 제어기 (50) 에 의해 또는 별도의 제어기로서 구현될 수도 있다. 제어기 (340) 는 또한 가스 전달 시스템 (320), 페데스탈 히터 (342), 플라즈마 생성기 (346) 와 같은 프로세스 디바이스들, 및/또는 프로세싱 챔버 (312) 로부터의 반응 물질들의 제거를 제어하도록 사용될 수도 있다. 일부 예들에서, 밸브 (350) 및 펌프 (352) 는 프로세싱 챔버 (312) 로부터 반응 물질들을 제거하도록 사용될 수도 있다. RF 플라즈마 생성기 (346) 는 프로세싱 챔버에서 RF 플라즈마를 생성시킬 수도 있다. RF 플라즈마 생성기 (346) 는 인덕티브 또는 커패시티브 유형의 RF 플라즈마 생성기일 수도 있다. RF 플라즈마 생성기 (346) 는 고주파수 RF 생성기, 저주파수 RF 생성기 및 매칭 네트워크 (미도시) 를 포함할 수도 있다.
제 1 프로세스 및 제 2 프로세스는 도 1a 내지 도 1d에서 상기에 기술된 시스템들 및 방법들을 사용하여 그리고 액체 전구체로서 TEOS, 퍼지 가스로서 헬륨, 그리고 비활성 가스로서 분자 질소를 사용하여 진행되었다. 제 1 프로세스 및 제 2 프로세스는 150 옹스트롬 (Å) 의 타겟 두께를 갖는다. 제 1 프로세스 및 제 2 프로세스는 진행되는 기판들의 그룹에 대해 16 내지 20 Å의 두께 범위를 갖는다. 제 3 프로세스는 100 Å의 타겟 범위를 갖고 ~12 Å의 두께 범위를 갖는다.
제 1 프로세스 및 제 2 프로세스는 도 2a 내지 도 2d에서 상기에 기술된 시스템들 및 방법들을 사용하여 그리고 액체 전구체로서 TEOS, 퍼지 가스로서 헬륨, 그리고 비활성 가스로서 분자 질소를 사용하여 진행되었다. 제 1 프로세스 및 제 2 프로세스는 150 옹스트롬 (Å) 의 타겟 두께를 갖는다. 제 1 프로세스 및 제 2 프로세스는 진행되는 기판들의 그룹에 대해 두께 범위의 약 50 % 이상의 감소를 갖는다 (8 Å 미만). 제 3 프로세스는 100 Å의 타겟 범위를 갖고 ~5 Å의 두께 범위를 갖는다. 유사하게, 디펙트 성능에서의 상당한 개선이 (약 5 내지 약 10 배) 도 2a 내지 도 2d에 기술된 시스템들 및 방법들에 의해 성취되었다. 어떤 이론도 제한되지 않고서, 입자 성능 개선은 주로 ILD들에 대한 액체 전구체 전달 시스템에서 잔여물들을 남기는 챔버 프리코트들 (precoat) 및 TEOS 프라이밍의 상당한 양의 제거에 기인할 수도 있다. 일부 예들에서, 프라이밍은 약 10배만큼 감소된다.
전술한 기술은 단순히 특성을 예시하는 것이고 어떠한 방식으로도 본 개시, 이의 애플리케이션, 또는 용도를 제한하도록 의도되지 않는다. 본 개시의 광범위한 교시들은 다양한 형태들로 구현될 수 있다. 따라서, 본 개시는 특별한 예들을 포함하지만, 본 개시의 진정한 범위는 다른 수정들이 도면들, 명세서, 및 이하의 청구항들을 연구함으로써 명백해질 것이기 때문에 그렇게 제한되지 않아야 한다. 본 명세서에서 사용된 바와 같이, 구 A, B, 및 C 중 적어도 하나는 비배타적인 논리 OR를 사용하여, 논리적으로 (A 또는 B 또는 C) 를 의미하는 것으로 해석되어야 한다. 방법 내에서 하나 이상의 단계들은 본 개시의 원리를 변경하지 않고 다른 순서로 (또는 동시에) 수행될 수도 있다는 것이 이해되어야 한다.
아래에 정의를 포함하는 본 출원에서, 용어 제어기는 용어 회로로 대체될 수도 있다. 용어 제어기는 ASIC (Application Specific Integrated Circuit); 디지털, 아날로그, 또는 혼합된 아날로그/디지털 개별 회로; 디지털, 아날로그, 또는 혼합된 아날로그/디지털 집적 회로; 결합 로직 회로; FPGA (field programmable gate array); 코드를 실행하는 프로세서 (공유, 전용, 또는 그룹); 프로세서에 의해 실행된 코드를 저장하는 메모리 (공유, 전용, 또는 그룹); 기술된 기능을 제공하는 다른 적합한 하드웨어 컴포넌트들 (component); 또는 시스템-온-칩에서와 같이, 상기의 일부 또는 전부의 조합의 일부를 지칭하거나 포함할 수도 있다.
상기에 사용된 바와 같은, 용어 코드는 소프트웨어, 펌웨어, 및/또는 마이크로코드를 포함할 수도 있고, 프로그램들, 루틴들, 기능들, 클래스들, 및/또는 객체들을 지칭할 수도 있다. 용어 공유 프로세서는 복수의 제어기들로부터의 일부 또는 전체 코드를 실행하는 단일 프로세서를 포괄한다. 용어 그룹 프로세서는 추가의 프로세서들과 결합하여, 하나 이상의 제어기들로부터의 일부 또는 전체 코드를 실행하는, 프로세서를 포함한다. 용어 공유 메모리는 복수의 제어기들로부터 일부 또는 전체 코드를 저장하는 단일 메모리를 포괄한다. 용어 그룹 메모리는 추가의 메모리들과 결합하여, 하나 이상의 제어기들로부터 일부 또는 전체 코드를 저장하는 메모리를 포괄한다. 용어 메모리는 용어 컴퓨터-판독 가능 매체의 서브세트일 수도 있다. 용어 컴퓨터-판독 가능 매체는 매체를 통해 전파하는 일시적 전기 및 전자기 신호들을 포괄하지 않고, 따라서 유형의 및 비일시적이라고 간주될 수 있다. 비일시적 유형의 컴퓨터 판독 가능 매체의 비제한적 예들은 비휘발성 메모리, 휘발성 메모리, 자기 저장 장치, 및 광 기억 장치를 포함한다.
이 출원서에 기술된 장치들 및 방법들은 하나 이상의 프로세서들에 의해 수행된 하나 이상의 컴퓨터 프로그램들에 의해 부분적으로 또는 완전히 구현될 수도 있다. 컴퓨터 프로그램들은 적어도 하나의 비일시적 유형의 컴퓨터 판독 가능 매체 상에 저장되는 프로세서-실행 가능 인스트럭션들을 포함한다. 컴퓨터 프로그램들은 또한 저장된 데이터를 포함 및/또는 필요로 할 수도 있다.

Claims (20)

  1. 기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법으로서,
    액체 전구체 소스와 유체 연통하는 제 1 밸브를 사용하여 액체 전구체를 공급하는 단계;
    퍼지 가스 소스와 유체 연통하는 제 2 밸브를 사용하여 퍼지 가스를 공급하는 단계;
    상기 제 1 밸브의 출력 포트와 유체 연통하는 제 1 입력 포트 및 상기 제 2 밸브의 출력 포트와 유체 연통하는 제 2 입력 포트를 갖는 제 3 밸브를 배열하는 단계;
    상기 제 3 밸브의 출력 포트와 유체 연통하는 제 1 방향 전환 주입기 밸브의 입력 포트를 배열하는 단계; 및
    상기 제 1 밸브, 상기 제 2 밸브, 상기 제 3 밸브 및 상기 제 1 방향 전환 주입기 밸브를 제 1 모드, 제 2 모드, 제 3 모드 및 제 4 모드에서 동작시키는 단계를 포함하고,
    액체 전구체에 대한 요청이 없을 때, 상기 제 1 모드로 설정하는 단계를 포함하고, 상기 제 1 모드로 설정하는 단계는 상기 제 1 밸브를 폐쇄하는 단계, 상기 제 2 밸브를 개방하는 단계, 상기 제 3 밸브에 의해 상기 퍼지 가스를 공급하는 단계, 및 상기 제 1 방향 전환 주입기 밸브를 개방하는 단계를 포함하고,
    액체 전구체에 대한 요청이 있을 때, 연속하여 상기 제 2 모드, 상기 제 3 모드 및 상기 제 4 모드로 설정하는 단계를 포함하고,
    상기 제 2 모드로 설정하는 단계는 상기 제 1 밸브, 상기 제 2 밸브, 상기 제 3 밸브 및 상기 제 1 방향 전환 주입기 밸브를 폐쇄하는 단계를 포함하고,
    상기 제 3 모드로 설정하는 단계는 상기 제 1 밸브, 상기 제 2 밸브, 상기 제 3 밸브를 폐쇄하는 단계 및 상기 제 1 방향 전환 주입기 밸브를 개방하는 단계를 포함하고, 그리고
    상기 제 4 모드로 설정하는 단계는 상기 제 1 밸브를 개방하는 단계, 상기 제 2 밸브를 폐쇄하는 단계, 상기 제 3 밸브를 사용하여 상기 액체 전구체를 공급하는 단계, 및 상기 제 1 방향 전환 주입기 밸브를 폐쇄하는 단계를 포함하는, 기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법.
  2. 제 1 항에 있어서,
    상기 제 3 밸브의 상기 출력 포트와 유체 연통하는 제 1 주입기 밸브의 입력 포트 및 가열된 주입기 매니폴드와 유체 연통하는 상기 제 1 주입기 밸브의 출력 포트를 배열하는 단계를 더 포함하는, 기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법.
  3. 제 2 항에 있어서,
    상기 제 3 밸브의 상기 출력 포트와 유체 연통하는 입력 포트를 갖는 제 2 방향 전환 주입기 밸브; 및
    상기 제 3 밸브의 상기 출력 포트와 유체 연통하는 입력 포트 및 상기 가열된 주입기 매니폴드와 유체 연통하는 출력 포트를 갖는 제 2 주입기 밸브를 더 포함하는, 기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법.
  4. 제 2 항에 있어서,
    상기 제 1 모드, 상기 제 2 모드, 상기 제 3 모드 및 상기 제 4 모드 동안 상기 제 1 주입기 밸브를 폐쇄하는 단계를 더 포함하는, 기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법.
  5. 제 4 항에 있어서,
    상기 액체 전구체를 상기 가열된 주입기 매니폴드에 선택적으로 공급하도록, 상기 제 4 모드 후에 제 5 모드 동안 상기 제 1 주입기 밸브를 선택적으로 개방하는 단계; 및
    상기 액체 전구체의 전달이 불필요할 때 상기 제 1 모드로 선택적으로 복귀하는 단계를 더 포함하는, 기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법.
  6. 제 1 항에 있어서,
    상기 액체 전구체는 테트라에틸 오소실리케이트 (TEOS) 를 포함하는, 기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법.
  7. 제 1 항에 있어서,
    상기 퍼지 가스는 헬륨을 포함하는, 기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법.
  8. 제 1 항에 있어서,
    상기 기판 프로세싱 시스템을 사용하여 PECVD (plasma-enhanced chemical vapor deposition) 를 수행하는 단계를 더 포함하는, 기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법.
  9. 제 1 항에 있어서,
    상기 액체 전구체는 테트라에틸 오소실리케이트 (TEOS; tetraethyl orthosilicate) 를 포함하고 상기 퍼지 가스는 헬륨을 포함하는, 기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법.
  10. 제 9 항에 있어서,
    상기 기판 상에 층간 유전체 (ILD; interlayer dielectric) 를 증착하는 단계를 더 포함하는, 기판 프로세싱 시스템에서 액체 전구체를 전달하기 위한 방법.
  11. 기판 프로세싱 시스템을 위한 액체 전구체 전달 시스템으로서,
    액체 전구체 소스와 유체 연통하는 제 1 밸브;
    퍼지 가스 소스와 유체 연통하는 제 2 밸브;
    상기 제 1 밸브의 출력 포트와 유체 연통하는 제 1 입력 포트 및 상기 제 2 밸브의 출력 포트와 유체 연통하는 제 2 입력 포트를 갖는 제 3 밸브;
    상기 제 2 밸브의 출력 포트와 유체 연통하는 입력 포트를 갖는 제 1 방향 전환 주입기 밸브; 및
    상기 제 1 밸브, 상기 제 2 밸브, 상기 제 3 밸브 및 상기 제 1 방향 전환 주입기 밸브를 제 1 모드, 제 2 모드, 제 3 모드 및 제 4 모드에서 동작시키도록 구성된 제어기를 포함하고,
    액체 전구체에 대한 요청이 없을 때, 상기 제어기는 상기 제 1 밸브를 폐쇄하고, 상기 제 2 밸브를 개방하고, 상기 제 3 밸브를 사용하여 상기 퍼지 가스를 공급하고 그리고 상기 제 1 방향 전환 주입기 밸브를 개방함으로써 상기 제 1 모드로 설정하고,
    액체 전구체에 대한 요청이 있을 때, 상기 제어기는 연속하여 상기 제 2 모드, 상기 제 3 모드 및 상기 제 4 모드로 설정하고,
    상기 제어기는 상기 제 1 밸브, 상기 제 2 밸브, 상기 제 3 밸브 및 상기 제 1 방향 전환 주입기 밸브를 폐쇄하여 상기 제 2 모드로 설정하고,
    상기 제어기는 상기 제 1 밸브, 상기 제 2 밸브, 및 상기 제 3 밸브를 폐쇄하고, 그리고 상기 제 1 방향 전환 주입기 밸브를 개방하여 상기 제 3 모드로 설정하고, 그리고
    상기 제어기는 상기 제 1 밸브를 개방하고, 상기 제 2 밸브를 폐쇄하고, 상기 제 3 밸브에 의해 상기 액체 전구체를 공급하고 그리고 상기 제 1 방향 전환 주입기 밸브를 폐쇄하여 상기 제 4 모드로 설정하는, 기판 프로세싱 시스템을 위한 액체 전구체 전달 시스템.
  12. 제 11 항에 있어서,
    상기 제 3 밸브의 상기 출력 포트와 유체 연통하는 입력 포트 및 가열된 주입기 매니폴드와 유체 연통하는 출력 포트를 갖는 제 1 주입기 밸브를 더 포함하는, 기판 프로세싱 시스템을 위한 액체 전구체 전달 시스템.
  13. 제 12 항에 있어서,
    상기 제 3 밸브의 상기 출력 포트와 유체 연통하는 입력 포트를 갖는 제 2 방향 전환 주입기 밸브; 및
    상기 제 3 밸브의 상기 출력 포트와 유체 연통하는 입력 포트 및 상기 가열된 주입기 매니폴드와 유체 연통하는 출력 포트를 갖는 제 2 주입기 밸브를 더 포함하는, 기판 프로세싱 시스템을 위한 액체 전구체 전달 시스템.
  14. 제 12 항에 있어서,
    상기 제어기는 상기 제 1 모드, 상기 제 2 모드, 상기 제 3 모드 및 상기 제 4 모드 동안 상기 제 1 주입기 밸브를 폐쇄하도록 구성되는, 기판 프로세싱 시스템을 위한 액체 전구체 전달 시스템.
  15. 제 14 항에 있어서,
    상기 제어기는:
    상기 액체 전구체를 상기 가열된 주입기 매니폴드에 선택적으로 공급하도록, 상기 제 4 모드 후에 제 5 모드 동안 상기 제 1 주입기 밸브를 선택적으로 개방하고; 그리고
    상기 액체 전구체의 전달이 불필요할 때 상기 제 1 모드로 선택적으로 복귀하도록 구성되는, 기판 프로세싱 시스템을 위한 액체 전구체 전달 시스템.
  16. 제 11 항에 있어서,
    상기 액체 전구체는 테트라에틸 오소실리케이트 (TEOS) 를 포함하는, 기판 프로세싱 시스템을 위한 액체 전구체 전달 시스템.
  17. 제 11 항에 있어서,
    상기 퍼지 가스는 헬륨을 포함하는, 기판 프로세싱 시스템을 위한 액체 전구체 전달 시스템.
  18. 기판 프로세싱 시스템으로서,
    제 11 항에 기재된 액체 전구체 전달 시스템;
    기판을 지지하기 위한 페데스탈 (pedestal) 을 포함하는 프로세싱 챔버; 및
    상기 프로세싱 챔버에서 선택적으로 플라즈마를 생성하기 위한 플라즈마 생성기를 포함하는, 기판 프로세싱 시스템.
  19. 제 18 항에 있어서,
    상기 기판 프로세싱 시스템은 PECVD (plasma-enhanced chemical vapor deposition) 를 수행하는, 기판 프로세싱 시스템.
  20. 제 18 항에 있어서,
    상기 액체 전구체는 테트라에틸 오소실리케이트 (TEOS) 를 포함하고 상기 퍼지 가스는 헬륨을 포함하고; 그리고
    상기 기판 프로세싱 시스템은 상기 기판 상에 층간 유전체 (ILD) 를 증착하도록 사용되는, 기판 프로세싱 시스템.
KR1020150097509A 2014-07-15 2015-07-09 증착 레이트 균일성을 향상시키고 기판 프로세싱 시스템들에서의 디펙트들을 감소시키기 위한 시스템들 및 방법들 KR102414851B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/331,704 US9617637B2 (en) 2014-07-15 2014-07-15 Systems and methods for improving deposition rate uniformity and reducing defects in substrate processing systems
US14/331,704 2014-07-15

Publications (2)

Publication Number Publication Date
KR20160008967A KR20160008967A (ko) 2016-01-25
KR102414851B1 true KR102414851B1 (ko) 2022-06-29

Family

ID=55074094

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150097509A KR102414851B1 (ko) 2014-07-15 2015-07-09 증착 레이트 균일성을 향상시키고 기판 프로세싱 시스템들에서의 디펙트들을 감소시키기 위한 시스템들 및 방법들

Country Status (3)

Country Link
US (1) US9617637B2 (ko)
KR (1) KR102414851B1 (ko)
TW (1) TWI671833B (ko)

Families Citing this family (251)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6380194B2 (ja) * 2015-03-27 2018-08-29 コニカミノルタ株式会社 超音波信号処理装置、及び、超音波診断装置
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) * 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
WO2019152486A1 (en) * 2018-01-31 2019-08-08 Lam Research Corporation Manifold valve for multiple precursors
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TW202405221A (zh) 2018-06-27 2024-02-01 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
WO2020050919A1 (en) * 2018-09-05 2020-03-12 Applied Materials, Inc. Gas input system for a substrate processing chamber
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
TW202309974A (zh) * 2021-05-21 2023-03-01 美商蘭姆研究公司 高深寬比3d nand架構中的鎢字元線填充
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20240047232A1 (en) * 2022-08-04 2024-02-08 Applied Materials, Inc. High conductance divert line architecture

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004207713A (ja) 2002-12-13 2004-07-22 Tokyo Electron Ltd 処理装置及び処理方法
JP2006339461A (ja) 2005-06-02 2006-12-14 Elpida Memory Inc 半導体装置製造用成膜装置および成膜方法
US20080241381A1 (en) 2007-03-28 2008-10-02 Tokyo Electron Limited Method for pre-conditioning a precursor vaporization system for a vapor deposition process
KR100863941B1 (ko) 2007-05-17 2008-10-16 주식회사 아토 가스공급 장치의 퍼지 시스템 및 방법
US20110111136A1 (en) 2009-09-29 2011-05-12 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CA2328295A1 (en) 1998-04-14 1999-10-21 Jack P. Salerno Film deposition system
US6820570B2 (en) * 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US7296532B2 (en) * 2002-12-18 2007-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Bypass gas feed system and method to improve reactant gas flow and film deposition
US7704894B1 (en) * 2006-11-20 2010-04-27 Novellus Systems, Inc. Method of eliminating small bin defects in high throughput TEOS films
US8017527B1 (en) * 2008-12-16 2011-09-13 Novellus Systems, Inc. Method and apparatus to reduce defects in liquid based PECVD films
EP2694700B1 (en) * 2011-04-07 2016-11-16 Picosun Oy Atomic layer deposition with plasma source
US8927066B2 (en) * 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004207713A (ja) 2002-12-13 2004-07-22 Tokyo Electron Ltd 処理装置及び処理方法
JP2006339461A (ja) 2005-06-02 2006-12-14 Elpida Memory Inc 半導体装置製造用成膜装置および成膜方法
US20080241381A1 (en) 2007-03-28 2008-10-02 Tokyo Electron Limited Method for pre-conditioning a precursor vaporization system for a vapor deposition process
KR100863941B1 (ko) 2007-05-17 2008-10-16 주식회사 아토 가스공급 장치의 퍼지 시스템 및 방법
US20110111136A1 (en) 2009-09-29 2011-05-12 Novellus Systems Inc. Precursor vapor generation and delivery system with filters and filter monitoring system

Also Published As

Publication number Publication date
US20160017493A1 (en) 2016-01-21
KR20160008967A (ko) 2016-01-25
US9617637B2 (en) 2017-04-11
TWI671833B (zh) 2019-09-11
TW201618200A (zh) 2016-05-16

Similar Documents

Publication Publication Date Title
KR102414851B1 (ko) 증착 레이트 균일성을 향상시키고 기판 프로세싱 시스템들에서의 디펙트들을 감소시키기 위한 시스템들 및 방법들
US9721763B2 (en) Systems and methods for providing gases to a process chamber
KR102396162B1 (ko) 막 프로파일 조정을 위한 샤워헤드 커튼 가스 방법 및 시스템
US20150107513A1 (en) Systems for modulating step coverage during conformal film deposition
KR102502272B1 (ko) 멀티-스테이션 증착 시스템에서 막 두께 매칭을 위한 가변 사이클 및 시간 rf 활성화 방법
US10526700B2 (en) Hardware and process for film uniformity improvement
CN109913852B (zh) 抑制喷头背面寄生等离子体的方法和装置
US9797042B2 (en) Single ALD cycle thickness control in multi-station substrate deposition systems
US10081869B2 (en) Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US20140251954A1 (en) Pulsed remote plasma method and system
US10843618B2 (en) Conformality modulation of metal oxide films using chemical inhibition
WO2022119893A1 (en) Precursor dispensing systems with line charge volume containers for atomic layer deposition
US20150184292A1 (en) Systems and methods for preventing mixing of two gas streams in a processing chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant