TW201738925A - 用以提高基板處理系統之電漿中的電子密度位準之系統及方法 - Google Patents

用以提高基板處理系統之電漿中的電子密度位準之系統及方法 Download PDF

Info

Publication number
TW201738925A
TW201738925A TW106104359A TW106104359A TW201738925A TW 201738925 A TW201738925 A TW 201738925A TW 106104359 A TW106104359 A TW 106104359A TW 106104359 A TW106104359 A TW 106104359A TW 201738925 A TW201738925 A TW 201738925A
Authority
TW
Taiwan
Prior art keywords
gas
positively charged
plasma
charged gas
substrate processing
Prior art date
Application number
TW106104359A
Other languages
English (en)
Other versions
TWI731031B (zh
Inventor
夸梅 伊森
詹姆斯 尤金 卡朗
伊弗霖 安格洛夫
朴准弘
登亮 楊
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201738925A publication Critical patent/TW201738925A/zh
Application granted granted Critical
Publication of TWI731031B publication Critical patent/TWI731031B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/06Sources
    • H01J2237/065Source emittance characteristics
    • H01J2237/0656Density
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

提供一種系統,該系統包含一基板處理腔室、一或更多注入器、及一控制器。該一或更多注入器將一負電性氣體、一基準正電性氣體、及一額外正電性氣體注入至該基板處理腔室中。該負電性氣體包含一蝕刻前驅物。該額外正電性氣體與該基板處理腔室中的一電漿相混合並使該電漿之電子密度提高。該控制器係用以基於該負電性氣體的一壓力或該額外正電性氣體的一電子親和力位準其中至少一者而設定該額外正電性氣體的量、流率、或壓力。

Description

用以提高基板處理系統之電漿中的電子密度位準之系統及方法
本揭露內容係關於基板處理,且更具體而言係關於控制基板處理系統中之電漿的電子密度位準。
這裡所提供之先前技術描述係為了大體上呈現本發明之背景。在此先前技術章節中敘述的成果之範圍內之本案列名之發明人的成果、以及在申請期間不適格作為先前技術之說明書的實施態樣,皆非有意地或暗示地被承認為對抗本發明之先前技術。
基板處理系統可用以蝕刻基板(例如,半導體晶圓)上的薄膜。基板處理系統一般包含基板處理腔室、氣體分配裝置、及基板支撐件。在處理期間,基板係佈置在基板支撐件上。可將不同的氣體混合物導入基板處理腔室中,並可產生射頻(RF)電漿來活化化學反應。RF電漿可包含感應耦合電漿(ICP)、或變壓耦合電漿(TCP)。ICP或TCP可藉由電漿來源提供(因供應電流以對電漿來源的一或更多線圈供電而產生)。電漿來源包含基板處理腔室的上部部分及一或更多線圈。
可使用負電性氣體(例如,三氟化氮(NF3 ))來蝕刻半導體薄膜(其可由矽氮化物 (SiN)形成)。負電性氣體之使用可導致由基板處理腔室的上部部分中之非均勻的電漿密度(或不良的電漿均勻性)所引起的非均勻性蝕刻。該不良的電漿均勻性係由於電子在電漿來源之線圈附近的局部化產生、及取代電子的高濃度之負離子所導致。為了改良不良的電漿均勻性,可降低負電性氣體的流率及/或壓力。然而,在流率及/或壓力上的降低可使蝕刻處理的整體效能降低。
提供一種系統,該系統包含一基板處理腔室、一或更多注入器、及一控制器。該一或更多注入器將一負電性氣體、一基準正電性氣體、及一額外正電性氣體注入至該基板處理腔室中。該負電性氣體包含一蝕刻前驅物。該額外正電性氣體與該基板處理腔室中的一電漿相混合並使該電漿之電子密度提高。該控制器係用以基於該負電性氣體的一壓力或該額外正電性氣體的一電子親和力位準其中至少一者而設定該額外正電性氣體的量、流率、或壓力。
在其它態樣中,提供一種方法,該方法包含:將一基板佈置在一基板處理腔室中的一基板支撐件上;將一負電性氣體、一基準正電性氣體、及一額外正電性氣體注入至該基板處理腔室中,其中該負電性氣體包含一蝕刻前驅物,其中該額外正電性氣體與該基板處理腔室中的一電漿混合並使該電漿之電子密度提高。該方法更包含在將該負電性氣體、該基準正電性氣體、及該額外正電性氣體注入該基板處理腔室之前,基於該負電性氣體的一壓力或該額外正電性氣體的一電子親和力位準其中至少一者而設定該額外正電性氣體的量、流率、或壓力。接著觸發該電漿以蝕刻該基板。
本揭露內容之進一步的可應用領域將從實施方式、發明申請專利範圍及圖式中變得明顯。詳細說明及具體範例係意圖為僅供說明的目的,而非意欲限制本揭示內容的範圍。
本文中揭露了用以改良電漿來源中的電漿均勻性之範例以改良半導體薄膜的蝕刻效能。該等範例包含使在電漿來源之電漿中的電子密度提高。由於電子較負離子更低的質量及更高的移動性,所添加的電子傾向於使電漿擴展並提高電漿的整體均勻性。改良的均勻性係在沒有降低電漿之流速及/或壓力的情況下提供。當電漿來源係以較一預定壓力(例如,0.50托)更大之壓力操作且同時使用負電性氣體時,這些範例為有益的。
現在參照圖1,根據本揭露內容,顯示了基板處理系統100之範例,該基板處理系統100具有用以蝕刻基板之薄膜層的基板處理腔室101。雖然基板處理腔室101係顯示為基於ICP的系統,但本文中所揭露之範例可應用於基於TCP的系統。雖然顯示並描述特定的基板處理腔室,但本文中所描述的方法可在其他類型的基板處理系統上實行。
基板處理腔室101包含下腔室區域102及上腔室區域104。下腔室區域102係由腔室側壁表面108、腔室底部表面110、及氣體分配裝置114的下表面所界定。基板處理腔室101的上部部分(或圓頂)118以及對應的線圈(其範例係於下面描述)可被稱為電漿來源並可提供ICP。在基於TCP的系統中,可提供TCP來取代ICP。
上腔室區域104係由氣體分配裝置114的上表面、及圓頂118的內表面所界定。在基於TCP的系統中,可以圓柱形結構取代圓頂118。在一些範例中,圓頂118係置於第一環形支撐件121上。在一些範例中,第一環形支撐件121包含用以輸送處理氣體至上腔室區域104的一或更多間隔的孔123(如以下將進一步描述)。在一些範例中,處理氣體係藉由該一或更多間隔的孔123而在向上方向上以一銳角(相對於包含氣體分配裝置114之平面)輸送,但是亦可使用其它角度/方向。在一些範例中,在第一環形支撐件121中的氣體流動通道134將氣體供應至(i) 一或更多間隔的孔123、及/或(ii) 一或更多通道或注入器136,其將氣體向上引導(由箭頭138所指示)以與上腔室區域104中的氣體及/或電漿混合。
第一環形支撐件121可設置於第二環形支撐件125上方或設置於其上,該第二環形支撐件125界定了用以從氣體流動通道129輸送處理氣體至下腔室區域102的一或更多間隔的孔127。在一些範例中,氣體分配裝置114中的孔131係與孔127對準。在其它範例中,氣體分配裝置114具有較小的直徑且不需要孔131。在一些範例中,處理氣體係藉由一或更多間隔的孔127而在向下方向上朝基板126以一銳角(相對於包含氣體分配裝置114之平面)輸送,但是亦可使用其它角度/方向。
在其他範例中,上腔室區域104為具有平坦的頂部表面之圓柱形。在更其他範例中,可使用在噴淋頭128與基板支撐件122之間具有一間隔部的單一腔室。
基板支撐件122係佈置在下腔室區域102中。在一些範例中,基板支撐件122包含靜電卡盤(ESC),但是亦可使用其它類型的基板支撐件。基板126於蝕刻期間係設置在基板支撐件122的上表面上。在一些範例中,基板126的溫度可藉由加熱元件(或加熱板)133、具有流體通道之可選性的冷卻板、及一或更多感測器(未顯示)而控制 ,但是亦可使用任何其他合適的基板支撐件溫度控制系統。
在一些範例中,氣體分配裝置114包含一噴淋頭(例如,顯示了具有複數間隔孔130的板128)。該複數間隔的孔130從板128的上表面延伸至板128的下表面。在一些實施例中,間隔的孔130具有在從0.4英吋至0.75英吋之範圍內的直徑,且噴淋頭係由導電材料(例如,鋁)或具有由導電材料製成之嵌入式電極的非導電材料(例如,陶瓷)所製成。
一或更多感應線圈140可圍繞圓頂118的外部部分而設置。當通電時,一或更多感應線圈140於圓頂118內產生電磁場。在一些範例中,使用上線圈及下線圈。氣體注入器142注入來自氣體輸送系統150-1的一或更多氣體混合物。該等氣體混合物其中每一者可包含(i) 一或更多負電性氣體及/或負電性氣體化合物、及(ii) 一或更多正電性氣體及/或正電性氣體化合物。
在一些實施例中,氣體輸送系統150-1包含一或更多氣體來源152、一或更多閥154、一或更多質量流量控制器(MFC) 156、及一混合歧管158,但是亦可使用其他類型的氣體輸送系統。可使用一氣體分流器(未顯示)以改變氣體混合物的流率。可使用另一氣體輸送系統150-2以供應蝕刻氣體或蝕刻氣體混合物至氣體流動通道129及/或134(在來自氣體注入器142的蝕刻氣體之外額外供應,或取代來自氣體注入器142的蝕刻氣體)。
合適的氣體輸送系統係顯示並描述於共同受讓的美國專利申請案第14/945,680號(發明名稱為「Gas Delivery System」,申請日為2015年12月4日)中,其內容係藉由參照完整納入本文中。合適的單一或雙氣體注入器及其它氣體注入位置係顯示並描述於共同受讓的美國臨時專利申請案第62/275,837號(發明名稱為「Substrate Processing System with Multiple Injection Points and Dual Injector」,申請日為2016年1月7日)中,其內容係藉由參照完整納入本文中。
在一些範例中,氣體注入器142包含以向下方向引導氣體的一中央注入位置、及以相對於向下方向的一角度注入氣體的一或更多側邊注入位置。在一些範例中,氣體輸送系統150-1以第一流率將氣體混合物的第一部分輸送至氣體注入器142的中央注入位置、並以第二流率將氣體混合物的第二部分輸送至氣體注入器142的側邊注入位置。在其它範例中,藉由氣體注入器142輸送不同的氣體混合物。在一些實施例中,氣體輸送系統150-1輸送調諧氣體至氣體流動通道129與134、及/或至將於以下描述之處理腔室中的其它位置。
電漿產生器170可用以產生輸出至一或更多感應線圈140的RF功率。電漿190係於上腔室區域104中產生。在一些實施例中,電漿產生器170包含RF產生器172、及匹配網路174。匹配網路174將RF產生器172的阻抗與一或更多感應線圈140的阻抗相匹配。在一些範例中,氣體分配裝置114係連接至一參考電位(例如接地)。閥178及泵浦180可用以控制下腔室區域102及上腔室區域104內的壓力及將反應物抽空。
控制器176與氣體輸送系統150-1與150-2、閥178、泵浦180、及/或電漿產生器170通訊以控制吹掃氣體(purge gas)、處理氣體之流量、RF電漿及腔室壓力。在一些範例中,電漿係藉由一或更多感應線圈140而維持在圓頂118內。一或更多氣體混合物係藉由使用氣體注入器142 (及/或孔123)而從基板處理腔室101的頂部部分導入,且電漿係藉由使用氣體分配裝置114而限制在圓頂118中。
將電漿限制在圓頂118中使得電漿物種得以進行體積復合(volume recombination),並使得想要的蝕刻劑物種得以透過氣體分配裝置114而流出。在一些範例中,沒有施加RF偏壓至基板126。因此,基板126上不存在有效的鞘層且離子不以任何有限的能量撞擊基板126。一些量的離子會透過氣體分配裝置114而擴散離開電漿區域。然而,擴散的電漿量較位於圓頂118內的電漿低了一個數量級。電漿中大部分的離子由於高壓下的體積復合而損失。在氣體分配裝置114之上表面上的表面復合損失(surface recombination loss)亦降低了氣體分配裝置114下方的離子密度。
在其它範例中,基板處理系統100包含RF偏壓產生器186及匹配網路188。RF偏壓產生器186產生一RF偏壓,該RF偏壓可用以在氣體分配裝置114與基板支撐件122之間產生電漿,或在基板126上產生自偏壓以吸引離子。控制器176可控制該RF偏壓。 RF偏壓產生器186及/或匹配網路188的輸出頻率可為0.5-60百萬赫茲(MHz)。
雖然基板處理系統100主要係描述為以ICP或TCP來源進行操作,然而基板處理系統100可亦做為(或替代性地做為)電容耦合電漿(CCP)反應器及/或平行板反應器而操作,其中基板支撐件122的RF電極係做為下板,且噴淋頭128或上部部分118(取決於是否包含噴淋頭128)係做為上板。
對於圖1的基板處理系統100之控制器及/或其它裝置的進一步定義之結構,請參見以下所提供的圖2之方法、及以下所提供之術語「控制器」的定義。基板處理系統100可使用許多方法進行操作,一範例性方法係繪示於圖2中。在圖2中,顯示了操作基板處理系統的一方法。雖然以下的任務主要係參照圖1之實行例而描述,但該等任務可輕易地加以修改以應用於本揭露內容的其他實行例。該等任務可重複執行。
該方法可於200開始。在202,將基板佈置於下腔室區域102中的基板支撐件122上。基板可包含複數的層,該等層包含一或更多的薄膜層。該一或更多薄膜層可包含由SiN形成之半導體薄膜層。
在204,氣體輸送系統150-1及/或150-2藉由氣體注入器142而將一或更多蝕刻氣體及/或一或更多蝕刻氣體混合物提供至上腔室區域104。可以高壓(例如,大於0.5托)提供蝕刻氣體混合物。蝕刻氣體混合物包含蝕刻前驅物,例如四氟化碳(CF4 )、六氟化硫(SF6 )、三氟化氮(NF3 )、及/或其它蝕刻前驅物。蝕刻氣體混合物及/或蝕刻前驅物包含一或更多負電性氣體(或捕獲自由電子並形成負離子的氣體)。
蝕刻氣體混合物可包含(i) 一基準量的正電性氣體(例如,一基準量的N2 O及/或H),及(ii) 有額外的正電性氣體、或沒有額外的正電性氣體。所供應之額外的正電性氣體的量、流率、及/或壓力可由控制器176基於下述條件而預先判定及/或判定,該等條件包含:應用方式;所供應之氣體及/或化合物氣體的類型;所供應之氣體(負電性及/或正電性氣體)的壓力;額外之正電性氣體的預定之最大電子親和力位準;所供應之氣體(負電性及/或正電性氣體)的電子親和力位準;氣體輸送系統150-1與150-2其中何者(或兩者)供應氣體;及/或氣體輸送系統150-1及150-2其中每一者供應何種氣體。額外的正電性氣體之壓力可與負電性氣體及基準正電性氣體的壓力相同。電子親和性位準可定義為將一電子添加入至氣態之中性原子或分子以形成負離子時所釋放或消耗的能量之量。
額外的正電性氣體包含(i) 一或更多正電性氣體(或有能力贈送電子的氣體)、及/或(ii) 包含一高濃度(大於一預定濃度位準)之正電性氣體的氣體混合物。該正電性氣體具有低電子親和力(例如,小於一預定電子親和力位準)。作為範例,不具有任何額外正電性氣體的蝕刻氣體混合物可為5%的負電性氣體及95%的正電性氣體。當供應額外的正電性氣體時,負電性氣體的百分比降低(相對於所供應之氣體的整體總量)。負電性氣體的百分比可降低至0%的一預定範圍內。額外的正電性氣體可不同於基準正電性氣體。額外的正電性氣體可較基準正電性氣體更為正電性,且因此較基準正電性氣體更不可能獲得電子。負電性氣體及/或正電性氣體可以高壓(例如,大於0.5托)提供。氣體的壓力越高,所供應之額外正電性氣體越多(相對於(i) 在一預定時間期間內所供應的電負性氣體和基準正電性氣體的量,及/或(ii) 在該預定時間期間內所供應之氣體的整體總量。這降低了基板處理腔室101中之負電性氣體的整體百分比。
可藉由第一氣體輸送系統150-1及/或第二氣體輸送系統150來提供蝕刻前驅物/負電性氣體、基準正電性氣體、及額外的正電性氣體其中每一者。在一實施例中,蝕刻前驅物/負電性氣體、基準正電性氣體、及額外的正電性氣體係藉由第一氣體輸送系統150-1提供,且不藉由第二氣體輸送系統150-2提供。在此範例性實施例中,額外的正電性氣體可於注入器142之前、於注入器142中、及/或於基板處理腔室101中與其它氣體混合。在另一實施例中,蝕刻前驅物/負電性氣體及基準正電性氣體係藉由第一氣體輸送系統150-1提供,且額外的正電性氣體係藉由第二氣體輸送系統150-2提供。在此範例性實施例中,額外的正電性氣體可於基板處理腔室101中與其它氣體混合。
在再另一實施例中,蝕刻前驅物/負電性氣體、基準正電性氣體、及額外的正電性氣體其中每一者係藉由氣體輸送系統150-1及150-2二者來提供。在此範例性實施例中,額外的正電性氣體可於被注入基板處理腔室101中之前、及/或於基板處理腔室101中與其它氣體混合。在另一實施例中,蝕刻前驅物/負電性氣體係藉由第二氣體輸送系統150-2提供,且額外的正電性氣體係藉由第一氣體輸送系統150-1提供。在此範例性實施例中,額外的正電性氣體可於基板處理腔室101中與其它氣體混合。
額外的正電性氣體可包含惰性氣體(具有完整的外電子殼層之氣體) 、非惰性氣體、惰性氣體化合物、及/或非惰性氣體化合物。惰性氣體及/或惰性氣體化合物可包含貴重氣體(例如氬氣)。額外的正電性氣體具有低電子親和力(或小於一預定位準的電子親和力)。圖3繪示了基於所提供之氬氣的對應濃度位準而提高的電漿蝕刻率均勻性位準。第一示圖繪示了當氬氣之濃度位準為0時電漿蝕刻率的均勻性位準為14.7%。第二示圖繪示了當氬氣之濃度為500 sccm(每分鐘標準立方公分)時電漿蝕刻率的均勻性位準為5.0%。第三示圖繪示了當氬氣之濃度為1000 sccm時電漿蝕刻率的均勻性位準為4.1%。該等均勻性位準其中每一者係意指一偏離平均蝕刻率的變異數(或全距),其中平均蝕刻率係以埃/每分鐘(Å/min)來提供。
為了提高基板處理腔室101內的電漿均勻性,藉由供應額外的正電性氣體而提高電子密度。這增加了進入基板處理腔室101中之電漿的具有低電子親和力的原子及/或分子的濃度。為了增加具有低電子親和力的原子及/或分子之濃度,將預定量的一或更多預選正電性氣體及/或氣體化合物加入至電漿中。額外的正電性氣體係加以選擇以將對基板處理結果的任何負面影響最小化。在一實施例中,將惰性氣體(例如,氬氣)添加至電漿的氣體化學品中以提高電漿的電子密度及均勻性。在另一範例性實施例中,將非惰性氣體添加至電漿的氣體化學品中。非惰性氣體可有助於提供所要求的及/或所需的處理結果並同時增加電漿的電子密度及均勻性。
控制器176可控制氣體輸送系統150-1及150-2所提供之氣體的量及時序。控制器176可控制質量流量控制器156之操作以控制提供至注入器142的氣體之濃度位準。
下面的表格1列出了不同原子、自由基、及分子的電子親和力。圖表1則顯示了特定原子的電子親和力。圖4的曲線圖顯示了電子親和力對特定原子的原子序之曲線。依據下述條件,可將表格1、圖表1、及/或圖4之曲線圖中的原子、自由基、及/或分子其中一或更多者包含在一或更多正電性氣體中,該等條件包含:應用方式;所供應之氣體類型(例如,負電性及/或正電性);所供應之額外的正電性氣體的預定最大電子親和力位準;所供應之氣體的壓力;所供應之氣體(例如,負電性及/或正電性氣體)的電子親和力位準;氣體輸送系統150-1及150-2其中何者(或兩者)供應氣體;及/或氣體輸送系統150-1及150-2其中每一者供應何種氣體。用以關聯及判定本文中所述之參數及/或值的表格、圖表、曲線圖、及/或方程式可儲存記憶體中、及/或可由圖1的控制器176進行存取。 表格1 - 特定原子、自由基、及分子的電子親和力圖表1 - 電子親和力(千焦耳/每莫耳(kJ/mol))
在206,於上腔室區域104中觸發電漿。在208,藉由RF產生器186及匹配網路188而可選性地將RF偏壓至基板支撐件。在210,控制器176可判定預定的蝕刻期間是否已結束。若蝕刻期間已經結束,則執行任務212。在212,於預定蝕刻期間結束時使電漿熄滅。在214,若有使用RF偏壓,則使RF偏壓終止。該方法可結束於216。
上面所述之任務係意圖為說明性範例;該等任務可依據應用方式而依序、同步、同時、連續、在重疊的時間期間、或以不同的順序加以執行。此外,依據實施例及/或事件的順序,可不執行或省略任何任務。
上面所述之方法包含在高壓下使用負電性氣體化學品來蝕刻半導體薄膜,及將具有低電子親和力之高濃度的正電性氣體添加至處理化學品中。上面所述之方法提供了在高壓下的較佳均勻性及較高的電子密度。其優點係改良了在相對較高壓力(例如,大於0.5托)下以負電性氣體操作之半導體蝕刻處理的處理均勻性。將正電性氣體添加至電漿中以改良均勻性。這與使電漿壓力降低來穩定電漿的習知方法係不同的。
以上所述在本質上僅為說明且係決非意欲限制本揭示內容、其應用、或使用。本揭示內容的廣泛教示可以多種方式執行。因此,雖然此揭示內容包含特殊的例子,但本揭示內容的真實範圍應不被如此限制,因為其他的變化將在研讀圖示、說明書及以下申請專利範圍後變為顯而易見。吾人應理解方法中的一或多個步驟可以不同的順序(或同時)執行而不改變本揭示內容的原理。另外,儘管每個實施例中皆於以上敘述為具有特定的特徵,但相關於本揭示內容之任何實施例中所敘述的該等特徵之任何一或多者可在其他實施例之任一者的特徵中實施、及/或與之組合而實施,即使該組合並未明確說明亦然。換言之,上述實施例並非互相排除,且一或多個實施例之間的排列組合仍屬於本揭示內容的範圍內。
元件之間(例如,在模組、電路元件,半導體層等之間)的空間和功能上的關係係使用各種術語來表述,其中包括「連接」、「接合」、「耦接」、「相鄰」、「接近」、「在頂端」、「上方」、「下方」和「配置」。除非明確敘述為「直接」,否則當於上述揭示內容中描述第一和第二元件之間的關係時,該關係可為第一及二元件之間沒有其他中間元件存在的直接關係,但也可為第一及二元件之間(空間上或功能上)存在一或多個中間元件的間接關係。如本文中所使用,詞組「A、B和C中至少一者」應解讀為意指使用非排除性邏輯OR的邏輯(A OR B OR C),且不應解讀為「A中至少一者、B中至少一者、及C中至少一者」。
在一些實行例中,控制器為系統的一部分,其可為上述範例的一部分。此等系統可包括半導體處理設備,其包含一個以上處理工具、一個以上腔室、用於處理的一個以上平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與電子設備整合,該等電子設備用於在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可稱作為「控制器」,其可控制該一個以上系統之各種的元件或子部分。依據系統的處理需求及/或類型,控制器可加以編程以控制本文中所揭露的任何製程,其中包含:處理氣體的輸送、溫度設定(例如,加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、出入工具、及其他轉移工具、及/或與特定系統連接或介接的負載鎖之晶圓傳送。
廣義而言,控制器可定義為電子設備,其具有各種不同的積體電路、邏輯、記憶體、及/或軟體,其接收指令、發布指令、控制操作、啟用清潔操作、啟用終點量測等。積體電路可包含儲存程式指令之韌體形式的晶片、數位信號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或多個微處理器或微控制器。程式指令可為以各種個別設定(或程式檔案)的形式與控制器通訊的指令,該等設定定義了用以在半導體晶圓上、對基板、或系統執行特定製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或多個層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒之製造期間內完成一或多個處理步驟。
在一些實行例中,控制器可為電腦的一部分或連接至電腦,該電腦係與系統整合、連接至系統、以其他方式網路連至系統、或其組合。舉例而言,控制器可為在「雲端」或工廠主機電腦系統的整體或部分,可允許晶圓處理的遠端存取。該電腦可允許針對系統的遠端存取以監測製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能度量、改變目前處理的參數、設定目前操作之後的處理步驟、或開始新的處理。在一些範例中,遠端電腦(例如伺服器)可透過網路提供製程配方給系統,該網路可包含區域網路或網際網路。遠端電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠端電腦被傳遞至系統。在一些例子中,控制器接收數據形式的指令,該數據明確指定於一或多個操作期間將被執行之各個處理步驟的參數。吾人應理解參數可專門用於將執行之製程的類型與配置控制器以介接或控制之工具的類型。因此,如上面所述,控制器可為分散式的,例如藉由包含一或多個分散的控制器,其由網路連在一起且朝共同的目的(例如本文中所述之製程及控制)作業。一個用於此等目的之分散式控制器的例子將為腔室上的一或多個積體電路,連通位於遠端(例如在平台級或作為遠端電腦的一部分)的一或多個積體電路,其結合以控制腔室中的製程。
不受限制地,示例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上面所述,依據將由工具執行的一個以上處理步驟,控制器可與下述通訊:一或多個其他工具電路或模組、其他工具元件、群組工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠。
100‧‧‧基板處理系統
101‧‧‧基板處理腔室
102‧‧‧下腔室區域
104‧‧‧上腔室區域
108‧‧‧腔室側壁表面
110‧‧‧腔室底部表面
114‧‧‧氣體分配裝置
118‧‧‧圓頂(上部部分)
121‧‧‧第一環形支撐件
122‧‧‧基板支撐件
123‧‧‧孔
125‧‧‧第二環形支撐件
126‧‧‧基板
127‧‧‧孔
128‧‧‧板(噴淋頭)
129‧‧‧氣體流動通道
133‧‧‧加熱元件
131‧‧‧孔
134‧‧‧氣體流動通道
136‧‧‧通道/注入器
140‧‧‧感應線圈
142‧‧‧氣體注入器
150-1‧‧‧氣體輸送系統
150-2‧‧‧氣體輸送系統
152‧‧‧氣體來源
154‧‧‧閥
156‧‧‧質量流量控制器(MFC)
158‧‧‧混合歧管
170‧‧‧電漿產生器
172‧‧‧RF產生器
174‧‧‧匹配網路
176‧‧‧控制器
178‧‧‧閥
180‧‧‧泵浦
184‧‧‧RF偏壓產生器
186‧‧‧RF產生器
188‧‧‧匹配網路
190‧‧‧電漿
200‧‧‧步驟
202‧‧‧步驟
204‧‧‧步驟
204A‧‧‧步驟
204B‧‧‧步驟
206‧‧‧步驟
208‧‧‧步驟
210‧‧‧步驟
212‧‧‧步驟
214‧‧‧步驟
216‧‧‧步驟
從詳細描述和附圖將更全面地理解本揭露,其中:
根據本揭露內容之實施例,圖1為基板處理系統之範例的功能方塊圖;
圖2繪示了操作基板處理系統的範例性方法;
圖3為一系列之示圖,該等示圖繪示了所供應之不同濃度位準的正電性氣體之電漿蝕刻率均勻性;及
圖4顯示了電子親和力對特定原子之原子序的範例曲線圖。
在圖式中,元件符號可被再次使用以辨別相似及/或相同的元件。
200‧‧‧步驟
202‧‧‧步驟
204‧‧‧步驟
204A‧‧‧步驟
204B‧‧‧步驟
206‧‧‧步驟
208‧‧‧步驟
210‧‧‧步驟
212‧‧‧步驟
214‧‧‧步驟
216‧‧‧步驟

Claims (20)

  1. 一種提高電漿中的電子密度位準之系統,該系統包含: 一基板處理腔室; 一或更多注入器,將一負電性氣體、一基準正電性氣體、及一額外正電性氣體注入至該基板處理腔室中,且其中該負電性氣體包含一蝕刻前驅物,且其中該額外正電性氣體與該基板處理腔室中的一電漿相混合並使該電漿之電子密度提高;及 一控制器,用以基於該負電性氣體之壓力或該額外正電性氣體之電子親和力位準其中至少一者而設定該額外正電性氣體的量、流率、或壓力。
  2. 如申請專利範圍第1項之提高電漿中的電子密度位準之系統,其中該控制器係用以調整注入至該基板處理腔室中的該額外正電性氣體之量,其中該控制器將該額外正電性氣體之濃度位準設定為大於一預定位準。
  3. 如申請專利範圍第1項之提高電漿中的電子密度位準之系統,其中該額外正電性氣體之電子親和力位準係小於一預定位準。
  4. 如申請專利範圍第1項之提高電漿中的電子密度位準之系統,其中該負電性氣體係以大於一預定壓力之壓力提供至該基板處理腔室。
  5. 如申請專利範圍第4項之提高電漿中的電子密度位準之系統,其中該負電性氣體以大於0.5托之壓力提供。
  6. 如申請專利範圍第1項之提高電漿中的電子密度位準之系統,其中該額外正電性氣體係以大於一預定壓力之壓力提供至該基板處理腔室。
  7. 如申請專利範圍第6項之提高電漿中的電子密度位準之系統,其中該額外正電性氣體以大於0.5托之壓力提供。
  8. 如申請專利範圍第1項之提高電漿中的電子密度位準之系統,其中該額外正電性氣體包含一惰性氣體。
  9. 如申請專利範圍第1項之提高電漿中的電子密度位準之系統,其中該額外正電性氣體包含一非惰性氣體。
  10. 如申請專利範圍第1項之提高電漿中的電子密度位準之系統,其中該額外正電性氣體具有較該基準正電性氣體更低之電子親和力。
  11. 一種提高電漿中的電子密度位準之方法,包含: 將一基板佈置在一基板處理腔室中的一基板支撐件上; 將一負電性氣體、一基準正電性氣體、及一額外正電性氣體注入至該基板處理腔室中,其中該負電性氣體包含一蝕刻前驅物,其中該額外正電性氣體與該基板處理腔室中的一電漿混合並使該電漿之電子密度提高,及 其中在將該負電性氣體、該基準正電性氣體、及該額外正電性氣體注入該基板處理腔室之前,基於該負電性氣體之壓力或該額外正電性氣體之電子親和力位準其中至少一者而設定該額外正電性氣體的量、流率、或壓力;及 觸發該電漿以蝕刻該基板。
  12. 如申請專利範圍第11項之提高電漿中的電子密度位準之方法,該方法更包含: 調整注入至該基板處理腔室中的該額外正電性氣體之量;及 將該額外正電性氣體之濃度位準設定為大於一預定位準。
  13. 如申請專利範圍第11項之提高電漿中的電子密度位準之方法,其中該額外正電性氣體之電子親和力位準係小於一預定位準。
  14. 如申請專利範圍第11項之提高電漿中的電子密度位準之方法,其中該負電性氣體係以大於一預定壓力之壓力提供至該基板處理腔室。
  15. 如申請專利範圍第14項之提高電漿中的電子密度位準之方法,其中該負電性氣體以大於0.5托之壓力提供。
  16. 如申請專利範圍第11項之提高電漿中的電子密度位準之方法,其中該額外正電性氣體係以大於一預定壓力之壓力提供至該基板處理腔室。
  17. 如申請專利範圍第16項之提高電漿中的電子密度位準之方法,其中該額外正電性氣體以大於0.5托之壓力提供。
  18. 如申請專利範圍第11項之提高電漿中的電子密度位準之方法,其中該額外正電性氣體包含一惰性氣體。
  19. 如申請專利範圍第11項之提高電漿中的電子密度位準之方法,其中該額外正電性氣體包含一非惰性氣體。
  20. 如申請專利範圍第11項之提高電漿中的電子密度位準之方法,其中該額外正電性氣體具有較該基準正電性氣體更低之電子親和力。
TW106104359A 2016-02-12 2017-02-10 用以提高基板處理系統之電漿中的電子密度位準之系統及方法 TWI731031B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662294640P 2016-02-12 2016-02-12
US62/294,640 2016-02-12
US15/427,163 US10147588B2 (en) 2016-02-12 2017-02-08 System and method for increasing electron density levels in a plasma of a substrate processing system
US15/427,163 2017-02-08

Publications (2)

Publication Number Publication Date
TW201738925A true TW201738925A (zh) 2017-11-01
TWI731031B TWI731031B (zh) 2021-06-21

Family

ID=59559755

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106104359A TWI731031B (zh) 2016-02-12 2017-02-10 用以提高基板處理系統之電漿中的電子密度位準之系統及方法

Country Status (3)

Country Link
US (1) US10147588B2 (zh)
KR (1) KR20170095150A (zh)
TW (1) TWI731031B (zh)

Families Citing this family (82)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US10651015B2 (en) 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system
US10699878B2 (en) 2016-02-12 2020-06-30 Lam Research Corporation Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring
US10438833B2 (en) 2016-02-16 2019-10-08 Lam Research Corporation Wafer lift ring system for wafer transfer
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치
US10410832B2 (en) 2016-08-19 2019-09-10 Lam Research Corporation Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102617422B1 (ko) 2016-12-19 2023-12-21 삼성전자주식회사 반도체 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes

Family Cites Families (126)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
NL8004005A (nl) 1980-07-11 1982-02-01 Philips Nv Werkwijze voor het vervaardigen van een halfgeleiderinrichting.
US4431477A (en) 1983-07-05 1984-02-14 Matheson Gas Products, Inc. Plasma etching with nitrous oxide and fluoro compound gas mixture
US4793897A (en) 1987-03-20 1988-12-27 Applied Materials, Inc. Selective thin film etch process
EP0424299A3 (en) 1989-10-20 1991-08-28 International Business Machines Corporation Selective silicon nitride plasma etching
US6024826A (en) 1996-05-13 2000-02-15 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5329965A (en) 1993-07-30 1994-07-19 The Perkin-Elmer Corporation Hybrid valving system for varying fluid flow rate
US5605179A (en) 1995-03-17 1997-02-25 Insync Systems, Inc. Integrated gas panel
US5662143A (en) 1996-05-16 1997-09-02 Gasonics International Modular gas box system
US5744695A (en) 1997-01-10 1998-04-28 Sony Corporation Apparatus to check calibration of mass flow controllers
US6376386B1 (en) 1997-02-25 2002-04-23 Fujitsu Limited Method of etching silicon nitride by a mixture of CH2 F2, CH3F or CHF3 and an inert gas
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
JP3586075B2 (ja) 1997-08-15 2004-11-10 忠弘 大見 圧力式流量制御装置
US6074959A (en) 1997-09-19 2000-06-13 Applied Materials, Inc. Method manifesting a wide process window and using hexafluoropropane or other hydrofluoropropanes to selectively etch oxide
US6060400A (en) 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
JP3830670B2 (ja) 1998-09-03 2006-10-04 三菱電機株式会社 半導体製造装置
US6579805B1 (en) 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6797189B2 (en) 1999-03-25 2004-09-28 Hoiman (Raymond) Hung Enhancement of silicon oxide etch rate and nitride selectivity using hexafluorobutadiene or other heavy perfluorocarbon
KR100427563B1 (ko) 1999-04-16 2004-04-27 가부시키가이샤 후지킨 병렬분류형 유체공급장치와, 이것에 사용하는 유체가변형압력식 유량제어방법 및 유체가변형 압력식 유량제어장치
US6210482B1 (en) 1999-04-22 2001-04-03 Fujikin Incorporated Apparatus for feeding gases for use in semiconductor manufacturing
CN100371491C (zh) 1999-08-17 2008-02-27 东京电子株式会社 脉冲等离子体处理方法及其设备
JP2002110570A (ja) 2000-10-04 2002-04-12 Asm Japan Kk 半導体製造装置用ガスラインシステム
US6333272B1 (en) 2000-10-06 2001-12-25 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6962879B2 (en) 2001-03-30 2005-11-08 Lam Research Corporation Method of plasma etching silicon nitride
DE10216703A1 (de) 2001-04-20 2002-11-28 Festo Corp Hauppauge Stapelbare Ventilverteileranordnung
US20030070620A1 (en) 2001-10-15 2003-04-17 Cooperberg David J. Tunable multi-zone gas injection system
JP3856730B2 (ja) 2002-06-03 2006-12-13 東京エレクトロン株式会社 流量制御装置を備えたガス供給設備からのチャンバーへのガス分流供給方法。
US6810308B2 (en) 2002-06-24 2004-10-26 Mks Instruments, Inc. Apparatus and method for mass flow controller with network access to diagnostics
US7552015B2 (en) 2002-06-24 2009-06-23 Mks Instruments, Inc. Apparatus and method for displaying mass flow controller pressure
US7136767B2 (en) 2002-06-24 2006-11-14 Mks Instruments, Inc. Apparatus and method for calibration of mass flow controller
US6841943B2 (en) 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
JP4502590B2 (ja) 2002-11-15 2010-07-14 株式会社ルネサステクノロジ 半導体製造装置
US20040112540A1 (en) 2002-12-13 2004-06-17 Lam Research Corporation Uniform etch system
US7169231B2 (en) 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US20040168719A1 (en) 2003-02-28 2004-09-02 Masahiro Nambu System for dividing gas flow
US6907904B2 (en) 2003-03-03 2005-06-21 Redwood Microsystems, Inc. Fluid delivery system and mounting panel therefor
JP4224492B2 (ja) 2003-06-09 2009-02-12 シーケーディ株式会社 圧力制御システム及び流量制御システム
JP4195837B2 (ja) 2003-06-20 2008-12-17 東京エレクトロン株式会社 ガス分流供給装置及びガス分流供給方法
US7137400B2 (en) 2003-09-30 2006-11-21 Agere Systems Inc. Bypass loop gas flow calibration
JP4399227B2 (ja) 2003-10-06 2010-01-13 株式会社フジキン チャンバの内圧制御装置及び内圧被制御式チャンバ
US7129171B2 (en) 2003-10-14 2006-10-31 Lam Research Corporation Selective oxygen-free etching process for barrier materials
US20050155625A1 (en) 2004-01-20 2005-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Chamber cleaning method
US7095179B2 (en) * 2004-02-22 2006-08-22 Zond, Inc. Methods and apparatus for generating strongly-ionized plasmas with ionizational instabilities
US7072743B2 (en) 2004-03-09 2006-07-04 Mks Instruments, Inc. Semiconductor manufacturing gas flow divider system and method
US20070066038A1 (en) 2004-04-30 2007-03-22 Lam Research Corporation Fast gas switching plasma processing apparatus
US7708859B2 (en) 2004-04-30 2010-05-04 Lam Research Corporation Gas distribution system having fast gas switching capabilities
US7412986B2 (en) 2004-07-09 2008-08-19 Celerity, Inc. Method and system for flow measurement and validation of a mass flow controller
US7338907B2 (en) 2004-10-04 2008-03-04 Sharp Laboratories Of America, Inc. Selective etching processes of silicon nitride and indium oxide thin films for FeRAM device applications
US20060124169A1 (en) 2004-12-09 2006-06-15 Tokyo Electron Limited Gas supply unit, substrate processing apparatus, and supply gas setting method
US7621290B2 (en) 2005-04-21 2009-11-24 Mks Instruments, Inc. Gas delivery method and system including a flow ratio controller using antisymmetric optimal control
US7288482B2 (en) 2005-05-04 2007-10-30 International Business Machines Corporation Silicon nitride etching methods
US7291560B2 (en) 2005-08-01 2007-11-06 Infineon Technologies Ag Method of production pitch fractionizations in semiconductor technology
US8088248B2 (en) 2006-01-11 2012-01-03 Lam Research Corporation Gas switching section including valves having different flow coefficients for gas distribution system
JP4788920B2 (ja) 2006-03-20 2011-10-05 日立金属株式会社 質量流量制御装置、その検定方法及び半導体製造装置
US7674337B2 (en) 2006-04-07 2010-03-09 Applied Materials, Inc. Gas manifolds for use during epitaxial film formation
US8997791B2 (en) 2006-04-14 2015-04-07 Mks Instruments, Inc. Multiple-channel flow ratio controller
JP4814706B2 (ja) 2006-06-27 2011-11-16 株式会社フジキン 流量比可変型流体供給装置
KR101466998B1 (ko) 2006-08-23 2014-12-01 가부시키가이샤 호리바 에스텍 집적형 가스 패널 장치
US7757541B1 (en) 2006-09-13 2010-07-20 Pivotal Systems Corporation Techniques for calibration of gas flows
US7309646B1 (en) 2006-10-10 2007-12-18 Lam Research Corporation De-fluoridation process
US9405298B2 (en) 2006-11-20 2016-08-02 Applied Materials, Inc. System and method to divide fluid flow in a predetermined ratio
US7758698B2 (en) 2006-11-28 2010-07-20 Applied Materials, Inc. Dual top gas feed through distributor for high density plasma chamber
CN101563663B (zh) 2006-12-05 2011-09-21 株式会社堀场Stec 流量控制装置的检定方法
KR101428826B1 (ko) 2006-12-12 2014-08-08 가부시키가이샤 호리바 에스텍 유량 비율 제어 장치
US8074677B2 (en) 2007-02-26 2011-12-13 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7775236B2 (en) 2007-02-26 2010-08-17 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US7846497B2 (en) 2007-02-26 2010-12-07 Applied Materials, Inc. Method and apparatus for controlling gas flow to a processing chamber
US8202393B2 (en) 2007-08-29 2012-06-19 Lam Research Corporation Alternate gas delivery and evacuation system for plasma processing apparatuses
US8440259B2 (en) 2007-09-05 2013-05-14 Intermolecular, Inc. Vapor based combinatorial processing
US8137463B2 (en) 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
CN101903840B (zh) 2007-12-27 2012-09-05 株式会社堀场Stec 流量比率控制装置
JP2011510404A (ja) 2008-01-18 2011-03-31 ピヴォタル システムズ コーポレーション ガスの流量を決定する方法、ガス・フロー・コントローラの動作を決定する方法、ガスフローコントロールシステムの一部の容量を決定する方法、及びガス搬送システム
KR101659095B1 (ko) 2008-02-08 2016-09-22 램 리써치 코포레이션 측방향 벨로우 및 비접촉 입자 밀봉을 포함하는 조정가능한 갭이 용량적으로 커플링되는 rf 플라즈마 반응기
JP2009194032A (ja) * 2008-02-12 2009-08-27 Tokyo Electron Ltd プラズマ測定方法及びプラズマ測定装置並びに記憶媒体
US8969151B2 (en) 2008-02-29 2015-03-03 Globalfoundries Singapore Pte. Ltd. Integrated circuit system employing resistance altering techniques
JP5608157B2 (ja) 2008-03-21 2014-10-15 アプライド マテリアルズ インコーポレイテッド 基板エッチングシステム及びプロセスの方法及び装置
JP5564043B2 (ja) 2008-08-13 2014-07-30 シエル・インターナシヨネイル・リサーチ・マーチヤツピイ・ベー・ウイ 複数のガス流間のガス流量を制御する方法
US8089046B2 (en) 2008-09-19 2012-01-03 Applied Materials, Inc. Method and apparatus for calibrating mass flow controllers
US8809196B2 (en) 2009-01-14 2014-08-19 Tokyo Electron Limited Method of etching a thin film using pressure modulation
JP5216632B2 (ja) 2009-03-03 2013-06-19 東京エレクトロン株式会社 流体制御装置
KR101386552B1 (ko) 2009-08-20 2014-04-17 도쿄엘렉트론가부시키가이샤 플라즈마 처리 장치 및 방법과 플라즈마 에칭 처리 장치 및 방법
WO2011051251A1 (en) 2009-10-26 2011-05-05 Solvay Fluor Gmbh Etching process for producing a tft matrix
US20120244715A1 (en) 2009-12-02 2012-09-27 Xactix, Inc. High-selectivity etching system and method
CN102576231B (zh) 2009-12-25 2015-04-15 株式会社堀场Stec 质量流量控制器系统及控制设备
WO2011108663A1 (ja) 2010-03-04 2011-09-09 東京エレクトロン株式会社 プラズマエッチング方法、半導体デバイスの製造方法、及びプラズマエッチング装置
CN103025413B (zh) 2010-08-02 2015-10-14 巴塞尔聚烯烃股份有限公司 混合和分离流体流的方法和设备
US8869742B2 (en) 2010-08-04 2014-10-28 Lam Research Corporation Plasma processing chamber with dual axial gas injection and exhaust
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5855921B2 (ja) 2010-12-17 2016-02-09 株式会社堀場エステック ガス濃度調整装置
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9059678B2 (en) 2011-04-28 2015-06-16 Lam Research Corporation TCCT match circuit for plasma etch chambers
US8746284B2 (en) 2011-05-11 2014-06-10 Intermolecular, Inc. Apparatus and method for multiple symmetrical divisional gas distribution
JP5377587B2 (ja) 2011-07-06 2013-12-25 東京エレクトロン株式会社 アンテナ、プラズマ処理装置及びプラズマ処理方法
JP5739261B2 (ja) 2011-07-28 2015-06-24 株式会社堀場エステック ガス供給システム
US20130045605A1 (en) * 2011-08-18 2013-02-21 Applied Materials, Inc. Dry-etch for silicon-and-nitrogen-containing films
JP5932599B2 (ja) 2011-10-31 2016-06-08 株式会社日立ハイテクノロジーズ プラズマエッチング方法
US8671733B2 (en) 2011-12-13 2014-03-18 Intermolecular, Inc. Calibration procedure considering gas solubility
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
WO2013123617A1 (en) 2012-02-22 2013-08-29 Agilent Technologies, Inc. Mass flow controllers and methods for auto-zeroing flow sensor without shutting off a mass flow controller
JP5881467B2 (ja) 2012-02-29 2016-03-09 株式会社フジキン ガス分流供給装置及びこれを用いたガス分流供給方法
US9301383B2 (en) * 2012-03-30 2016-03-29 Tokyo Electron Limited Low electron temperature, edge-density enhanced, surface wave plasma (SWP) processing method and apparatus
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9355839B2 (en) 2012-10-23 2016-05-31 Lam Research Corporation Sub-saturated atomic layer deposition and conformal film deposition
JP5616416B2 (ja) 2012-11-02 2014-10-29 株式会社フジキン 集積型ガス供給装置
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US9090972B2 (en) 2012-12-31 2015-07-28 Lam Research Corporation Gas supply systems for substrate processing chambers and methods therefor
WO2014109827A1 (en) * 2013-01-08 2014-07-17 Applied Materials, Inc. High mobility film through quantum confinement using metal oxynitrides and oxides
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US20140360670A1 (en) * 2013-06-05 2014-12-11 Tokyo Electron Limited Processing system for non-ambipolar electron plasma (nep) treatment of a substrate with sheath potential
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
JP6193679B2 (ja) 2013-08-30 2017-09-06 株式会社フジキン ガス分流供給装置及びガス分流供給方法
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9580360B2 (en) 2014-04-07 2017-02-28 Lam Research Corporation Monolithic ceramic component of gas delivery system and method of making and use thereof
US9318343B2 (en) 2014-06-11 2016-04-19 Tokyo Electron Limited Method to improve etch selectivity during silicon nitride spacer etch
US20160181116A1 (en) 2014-12-18 2016-06-23 Lam Research Corporation Selective nitride etch
TW201634738A (zh) 2015-01-22 2016-10-01 應用材料股份有限公司 用於在空間上分離之原子層沉積腔室的經改良注射器
US9881804B2 (en) * 2015-01-26 2018-01-30 Tokyo Electron Limited Method and system for high precision etching of substrates
US9911620B2 (en) 2015-02-23 2018-03-06 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US10957561B2 (en) 2015-07-30 2021-03-23 Lam Research Corporation Gas delivery system
US9837286B2 (en) 2015-09-04 2017-12-05 Lam Research Corporation Systems and methods for selectively etching tungsten in a downstream reactor
US10192751B2 (en) 2015-10-15 2019-01-29 Lam Research Corporation Systems and methods for ultrahigh selective nitride etch
US10825659B2 (en) 2016-01-07 2020-11-03 Lam Research Corporation Substrate processing chamber including multiple gas injection points and dual injector
US9640409B1 (en) 2016-02-02 2017-05-02 Lam Research Corporation Self-limited planarization of hardmask
US10147588B2 (en) 2016-02-12 2018-12-04 Lam Research Corporation System and method for increasing electron density levels in a plasma of a substrate processing system

Also Published As

Publication number Publication date
US10147588B2 (en) 2018-12-04
US20170236694A1 (en) 2017-08-17
TWI731031B (zh) 2021-06-21
KR20170095150A (ko) 2017-08-22

Similar Documents

Publication Publication Date Title
TWI731031B (zh) 用以提高基板處理系統之電漿中的電子密度位準之系統及方法
CN106601612B (zh) 用于超高选择性的氮化物蚀刻的系统和方法
CN106952799B (zh) 使用基于等离子体的工艺消除氟残余物的系统和方法
TWI662149B (zh) 二次清洗啓動的原子層沉積系統中噴淋頭背側寄生電漿抑制用方法及設備
TWI761337B (zh) 基板處理系統
US10825659B2 (en) Substrate processing chamber including multiple gas injection points and dual injector
US20030155079A1 (en) Plasma processing system with dynamic gas distribution control
US20160148813A1 (en) Gas injection method for uniformly processing a semiconductor substrate in a semiconductor substrate processing apparatus
KR20080000593A (ko) 플라즈마 강화 원자층 증착 시스템 및 방법
JPWO2012002232A1 (ja) プラズマ処理装置及び方法
KR102598863B1 (ko) 동시에 발생하는 인시츄 플라즈마 소스 및 리모트 플라즈마 소스를 사용한 신속한 챔버 세정
KR102549146B1 (ko) 복잡한 3-d 구조체들을 에칭하기 위한 압력 퍼지 에칭 방법
US20210287909A1 (en) Integrated atomic layer passivation in tcp etch chamber and in-situ etch-alp method
KR20180105072A (ko) Finfet 디바이스들을 형성하기 위한 초고 선택적 나이트라이드 에칭
US20220093365A1 (en) Atomic layer treatment process using metastable activated radical species
US20230035732A1 (en) Efficient cleaning and etching of high aspect ratio structures
CN107086178B (zh) 用于选择性蚀刻膜的系统和方法
US20180374697A1 (en) Methods and apparatuses for increasing reactor processing batch size
US20220181147A1 (en) High etch selectivity, low stress ashable carbon hard mask
TWI797134B (zh) 電漿處理方法及電漿處理裝置
KR102510611B1 (ko) 저 압축 응력, 고 막 (film) 안정성 및 저 수축성을 가진 두꺼운 테트라에틸 오르토실리케이트 막을 고 증착 레이트로 증착하기 위한 방법
WO2020154244A1 (en) Substrate processing system including dual ion filter for downstream plasma
KR20220029478A (ko) 기판 처리 방법 및 플라즈마 처리 장치