KR20080000593A - 플라즈마 강화 원자층 증착 시스템 및 방법 - Google Patents

플라즈마 강화 원자층 증착 시스템 및 방법 Download PDF

Info

Publication number
KR20080000593A
KR20080000593A KR1020077023871A KR20077023871A KR20080000593A KR 20080000593 A KR20080000593 A KR 20080000593A KR 1020077023871 A KR1020077023871 A KR 1020077023871A KR 20077023871 A KR20077023871 A KR 20077023871A KR 20080000593 A KR20080000593 A KR 20080000593A
Authority
KR
South Korea
Prior art keywords
substrate
plasma
process material
process chamber
introducing
Prior art date
Application number
KR1020077023871A
Other languages
English (en)
Inventor
다다히로 이시자카
가오루 야마모토
Original Assignee
동경 엘렉트론 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동경 엘렉트론 주식회사 filed Critical 동경 엘렉트론 주식회사
Publication of KR20080000593A publication Critical patent/KR20080000593A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

PEALD(plasma enhanced atomic layer deposition) 프로세스를 사용해 기판에 필름을 증착하기 위한 방법은 기판을 PEALD 프로세스를 용이하게 하도록 구성된 프로세스 챔버에 배치하는 단계를 포함한다. 제1 프로세스 재료는 프로세스 챔버내에 도입되고, 제2 프로세스 재료는 프로세스 챔버내에 도입된다. 기판의 표면에서 제1 프로세스 재료와 제2 프로세스 재료 사이의 환원 반응을 용이하게 하는 플라즈마를 발생시키기 위해, 제2 프로세스 재료의 도입 동안, 600W 초과하는 전자파 전력을 프로세스 챔버에 커플링한다. 제1 프로세스 재료와 상기 제2 프로세스 재료를 교대로 도입하여 기판상에 필름을 형성한다.
PEALD 프로세스, 플라즈마, 프로세스 챔버, 프로세스 재료, 전자파 전력, 환원 반응 가속, 오염 물질 감소, 원자층 증착

Description

플라즈마 강화 원자층 증착 시스템 및 방법{A PLASMA ENHANCED ATOMIC LAYER DEPOSITION SYSTEM AND METHOD}
본 발명은 플라즈마 강화 원자층 증착 시스템(PEALD) 및 그것에 관한 조작 방법에 관한 것으로서, 좀더 구체적으로는, 소정의 플라즈마 파워 레벨로 조작하는 플라즈마 강화 원자층 증착 시스템에 관한 것이다.
통상적으로, 재료 프로세싱(materials processing) 동안, 플라즈마는, 복합 재료 구조들을 가공할 때, 재료 필름들(material films)의 추가 및 제거를 용이하게 하는데 이용된다. 예를 들어, 반도체 프로세싱에서, (건식) 플라즈마 에칭 프로세스는, 실리콘 기판상에 패터닝된 미세 트렌치들(fine trenches)을 따라 또는 비아들(vias)이나 접점들(contacts)내에서 재료를 제거 또는 에칭하는데 이용된다. 다른 방법으로, 예를 들어, 기상 증착 프로세스(vapor deposition process)는, 실리콘 기판상의 미세 라인들을 따라 또는 비아들이나 접점들내에 재료를 증착하는데 이용된다. 후자의 경우, 기상 증착 프로세스들은 화학 기상 증착(CVD ; chemical vapor deposition) 및 플라즈마 강화 화학 기상 증착(PECVD ; plasma enhanced chemical vapor deposition)를 포함한다.
PECVD에서, 플라즈마는 필름 증착 메커니즘을 변경하거나 강화시키는데 이용 된다. 예를 들어, 플라즈마 여기(excitation)는 일반적으로 필름-형성 반응들이, 열 여기(thermally excited) CVD에 의해 유사한 필름을 생산하는데 통상적으로 요구되는 온도들보다, 상당히 낮은 온도들에서 진행할 수 있게 한다. 또한, 플라즈마 여기는 열적 CVD에서 에너지적으로 또는 활성적으로 선호되지 않는 필름-형성 화학 반응들을 활성화시킬 수도 있다. 따라서, PECVD 필름들의 화학적 및 물리적 특성들은 프로세스 파라미터들을 조정하는 것에 의해 비교적 넓은 범위에 걸쳐 변경될 수 있다.
좀더 최근에는, CVD 또는 좀 더 일반적으로 필름 증착의 한 형태인 원자층 증착(ALD ; atomic layer deposition)이 전공정(FEOL ; front end-of-line) 조작들에서의 초박(ultra-thin) 게이트 필름 형성 뿐만 아니라 후공정(BEOL; back end-of-line) 조작들에서의 금속 배선 공정(metallization)을 위한 초박 배리어층 및 시드층 형성을 위한 후보로서 출현하였다. ALD에서는, 한번에 하나의 재료 필름 단분자층(one monolayer)을 형성하기 위해, 2 이상의 프로세스 가스들이 교대로 그리고 순차적으로 도입된다. 그러한 ALD 프로세스는, 층 두께에서의 향상된 균질성(uniformity) 및 제어를 제공할 뿐만 아니라 층이 증착되는 사양들에 대한 정합성(conformality)을 제공한다는 것이 입증되었다. 그러나, 현재의 ALD 프로세스들은 일반적으로, 생산 요구 사항들을 위해 적합하지 않은 느린 증착 속도를 가진다. 더 나아가, 현재의 ALD 프로세스들은 대체로, 증착된 필름들 및 그에 따라 제조된 디바이스의 품질에 영향을 미치는 오염 문제들로 어려움을 겪고 있다. 이들과 같은 팩터들이, ALD 필름들의 우수한 특징들에도 불구하고, ALD 필름들의 광범위한 수용에 대한 걸림돌이 되어 왔다.
따라서, 본 발명의 일 목적은 ALD 시스템들 및 프로세스들에서의 상술된 및/또는 다른 문제들 중 어떤 것을 해결하는 것에 관한 것이다.
본 발명의 다른 목적은 ALD 필름들의 증착 속도를 향상시키는 것이다.
본 발명의 또 다른 목적은 ALD 필름들의 증착에 관한 오염 문제들을 감소시키는 것이다.
본 발명의 이들 및/또는 다른 목적들은 PEALD(plasma enhanced atomic layer deposition) 프로세스를 사용해 기판에 필름을 증착하기 위한 방법에 의해 제공될 수도 있다. 발명의 일 태양에서, 방법은 PEALD 프로세스를 용이하게 하도록 구성된 프로세스 챔버에 기판을 배치하는 단계, 프로세스 챔버내에 제1 프로세스 재료를 도입하는 단계, 및 프로세스 챔버내에 제2 프로세스 재료를 도입하는 단계를 포함한다. 기판의 표면에서 제1 프로세스 재료와 제2 프로세스 재료 사이의 환원 반응(reduction reaction)을 용이하게 하는 플라즈마를 발생시키기 위해, 제2 프로세스 재료의 도입 동안, 프로세스 챔버에 600W 초과의 전자파 전력(electromagnetic power)을 커플링한다. 제1 프로세스 재료와 제2 프로세스 재료를 교대로 도입하여, 기판상에 필름을 형성한다.
도 1a는 발명의 실시예에 따른 증착 시스템의 개략도를 묘사하고;
도 1b는 발명의 실시예에 따른 다른 증착 시스템의 개략도를 묘사하며;
도 2a는 발명의 실시예에 따른 증착 시스템의 개략도를 묘사하고;
도 2b는 발명의 실시예에 따른 다른 증착 시스템의 개략도를 묘사하며;
도 3은 발명의 실시예에 따른 ALD 프로세스를 위한 타이밍도이고;
도 4a 내지 도 4C는 예시적인 ALD 프로세스 데이터를 제시하며;
도 5는 발명의 실시예에 따른 ALD 프로세스의 프로세스 흐름도를 나타내고;
도 6은 발명의 다른 실시예에 따른 ALD 프로세스의 프로세스 흐름도를 나타내며;
도 7a 및 도 7b는, 본 발명의 실시예들에 따른, 퍼지 및 환원 반응 플라즈마들을 발생시키기 위해 프로세싱 챔버에 커플링된 전력의 전력 레벨 변동을 묘사하는 전력 그래프들을 나타내고;
도 8은 본 발명의 실시예에 따른 ALD 프로세스의 프로세스 흐름도를 나타내며;
도 9a 내지 도 9c는, 본 발명의 실시예에 따른, PEALD 프로세스 챔버에서의 기판 구역(substrate zone) 및 주변 구역(peripheral zone)을 그리고 기판 구역에서의 플라즈마 및 주변 구역에서의 플라즈마를 위한 2개의 타이밍 시퀀스들을 예시하고;
도 10a 내지 도 1OD는 본 발명의 실시예들에 따른 주변 전극 어셈블리들을 묘사하며;
도 11a 내지 도 11d는 본 발명의 실시예들에 따른 주변 유도 전극(peripheral inductive electrode) 어셈블리들을 묘사하고;
도 12는 본 발명의 실시예에 따른 ALD 프로세스의 프로세스 흐름도를 나타내며;
도 13은 본 발명의 실시예에 다른 ALD 프로세스의 프로세스 흐름도를 나타내고;
도 14는 본 발명의 실시예에 따른 기판 프로세스의 프로세스 흐름도를 나타내며;
도 15는, 본 발명의 실시예에 따른, 기판을 프로세싱하기 위한 프로세싱 도구의 간략화된 블록도이고;
도 16은 ALD층에서의 오염 물질들을 감소시키기 위한 소프트 플라즈마(soft plasma)를 발생시키기 위한 SPA(slot plane antenna) 플라즈마 소스를 포함하는 플라즈마 프로세싱 시스템의 간략화된 블록도이다.
다음 설명에서는, 발명의 완전한 이해를 용이하게 하기 위해 그리고 한정이 아닌 설명의 목적들을 위해, 증착 시스템의 독특한 기하 구조 및 다양한 컴포넌트들의 설명들과 같은, 특정 세부 사항들이 기술된다. 그러나, 발명이 이들 특정 세부 사항들을 벗어나는 다른 실시예들로 실시될 수도 있다는 것이 이해될 수 있어야 한다.
이제, 유사한 참조 번호들이 수개 도면들 전체에 걸쳐 동일하거나 대응되는 부분들을 지시하는 도면들을 참조하면, 도 1a는, 일 실시예에 따른, 기판에 박형 필름(thin film)을 증착하기 위한 증착 시스템(1)을 예시한다. 예를 들어, BEOL(back-end-of-line) 조작들에서의 반도체 디바이스들을 위한 접속간(inter-connect) 및 접속내(intra-connect) 구조들의 금속 배선 공정 동안, 얇은 정합 배리어층이 결선(wiring) 트렌치들 또는 비아들상에 증착되어 레벨간(inter-level) 또는 레벨내(intra-level) 유전체로의 금속 이송(migration of metal)을 최소화할 수도 있다. 더 나아가, 얇은 정합 시드층(thin conformal seed layer)이 배선 트렌치들 또는 비아들상에 증착되어 필름에 벌크 금속 충전을 위한 허용 가능한 접착 특성들을 제공할 수 있거나, 얇은 정합 접착층이 배선 트렌치들 또는 비아들상에 증착되어 필름에 금속 시드 증착을 위한 허용 가능한 접착 특성들을 제공할 수도 있다. FEOL(front-end-of line) 조작들에서, 증착 시스템(1)은 초박형 게이트층 및/또는, 고유전 상수(하이-K) 필름과 같은, 게이트 유전체층을 증착하는데 사용될 수도 있다.
증착 시스템(1)은, 그 위에 박형 필름이 형성되는 기판(25)을 지지하도록 구성된 기판 홀더(20)를 갖춘 프로세스 챔버(10)를 구비한다. 프로세스 챔버(10)는 제1 프로세스 재료 공급 시스템(40), 제2 프로세스 재료 공급 시스템(42), 및 퍼지 가스 공급 시스템(44)에 커플링된 상부 어셈블리(30)를 더 구비한다. 추가적으로, 증착 시스템(1)은 프로세스 챔버(10)에 커플링되어 프로세스 챔버(10)에서 플라즈마를 발생시키도록 구성된 제1 전원(50) 및 기판 홀더(20)에 커플링되어 기판(25)의 온도를 상승시키고 제어하도록 구성된 기판 온도 제어 시스템(60)을 구비한다. 추가적으로, 증착 시스템(1)은, 프로세스 챔버(10), 기판 홀더(20), 상부 어셈블리(30), 제1 프로세스 재료 공급 시스템(40), 제2 프로세스 재료 공급 시스템(42), 퍼지 가스 공급 시스템(44), 제1 전원(50), 및 기판 온도 제어 시스템(60)에 커플링될 수 있는 제어기(70)를 구비한다.
다른 방법으로 또는 추가적으로, 제어기(70)는 (도시되어 있지 않은) 하나 이상의 추가 제어기들/컴퓨터들에 커플링될 수 있고, 제어기(70)는 추가 제어기/컴퓨터로부터 설정 및/또는 구성 정보를 획득할 수 있다.
도 1a에는, 단일 프로세싱 소자들(10, 20, 30, 40, 42, 44, 50, 및 60)이 도시되어 있지만, 발명을 위해 반드시 그래야 하는 것은 아니다. 증착 시스템(1)은, 독립 프로세싱 소자들 이외에, 프로세싱 소자들과 관련된 임의 개수의 제어기들을 갖는 임의 개수의 프로세싱 소자들을 구비할 수도 있다.
제어기(70)는 임의 개수의 프로세싱 소자들(10, 20, 30, 40, 42, 44, 50, 및 60)을 구성하는데 사용될 수 있고, 제어기(70)는 프로세싱 소자들로부터 데이터를 수집, 제공, 프로세싱, 저장, 및 디스플레이할 수 있다. 제어기(70)는 프로세싱 소자들 중 하나 이상을 제어하기 위한 임의 개수의 애플리케이션들을 구비할 수 있다. 예를 들어, 제어기(70)는, 사용자가 하나 이상의 프로세싱 소자들을 모니터링 및/또는 제어할 수 있게 하는, 사용이 용이한 인터페이스들을 제공할 수 있는 (도시되어 있지 않은) GUI(graphic user interface) 컴포넌트를 포함할 수 있다.
여전히 도 1a를 참조하면, 증착 시스템(1)은 200 mm 기판들, 300 mm 기판들, 또는 좀더 큰 사이즈의 기판들을 프로세싱하도록 구성될 수도 있다. 사실상, 당업자들이라면 알 수 있는 바와 같이, 증착 시스템은 기판들, 웨이퍼들, 또는 LCD들을 그것들의 사이즈에 상관없이 프로세싱하도록 구성될 수도 있다는 것이 예상된다. 따라서, 반도체 기판의 프로세싱과 관련하여 발명의 태양들이 설명되겠지만, 발명이 단지 그것으로 제한되는 것은 아니다.
제1 프로세스 재료 공급 시스템(40) 및 제2 프로세스 재료 공급 시스템(42)은 교대로 제1 프로세스 재료를 프로세스 챔버(10)로 그리고 제2 프로세스 재료를 프로세스 챔버(10)로 도입하도록 구성된다. 제1 재료 도입과 제2 재료 도입의 교대는 주기적일 수 있거나, 제1 프로세스 재료 도입과 제2 프로세스 재료 도입 사이의 가변 시주기들로 인해 비주기적일 수도 있다. 제1 프로세스 재료는, 예를 들어, 기판(25)상에 형성된 필름에서 발견되는 기본 원자 또는 분자종들(principal atomic or molecular species)을 가진 조성물(composition)과 같은, 필름 선구체를 구비할 수 있다. 예를 들어, 필름 선구체는 고체 상태, 액체 상태, 또는 가스 상태로서 발생할 수 있고, 필름 선구체는 캐리어 가스(carrier gas)를 사용하거나 캐리어 가스를 사용하지 않으면서 가스 상태로 프로세스 챔버(10)로 전달될 수도 있다. 제2 프로세스 재료는, 예를 들어, 이 또한 기판(25)상에 형성된 필름에서 발견되는 원자 또는 분자종들을 포함할 수도 있는 환원제(reducing agent)를 구비할 수 있다. 예를 들어, 환원제는 고체 상태, 액체 상태, 또는 가스 상태로서 발생할 수 있고, 환원제는 캐리어 가스를 사용하거나 캐리어 가스를 사용하지 않으면서 가스 상태로 프로세스 챔버(10)로 전달될 수도 있다.
추가적으로, 퍼지 가스 공급 시스템(44)은 프로세스 챔버(10)로 퍼지 가스를 도입하도록 구성될 수 있다. 예를 들어, 퍼지 가스의 도입은, 각각, 프로세스 챔버(10)로의 제1 프로세스 재료 도입과 제2 프로세스 재료 도입 사이에서 또는 프로 세스 챔버(10)로의 제2 프로세스 재료 도입에 뒤이어 발생할 수도 있다. 퍼지 가스는, 희 가스(Noble gas)(즉, 헬륨, 네온, 아르곤, 크세논, 크립톤) 또는 질소와 같은, 불활성 가스(inert gas) 또는 수소를 구비할 수 있다. 일 실시예에서, 퍼지 가스 공급 시스템(44)은, 다음에서 설명되는 바와 같이, 반응성 퍼지 가스(reactive purge gas)를 도입하도록 구성될 수도 있다.
여전히 도 1a를 참조하면, 증착 시스템(1)은 프로세스 챔버(10)로의 제1 프로세스 재료 및 제2 프로세스 재료의 교대하는 도입의 적어도 일부분 동안 플라즈마를 발생시키도록 구성된 플라즈마 발생 시스템을 구비한다. 플라즈마 발생 시스템은 프로세스 챔버(10)에 커플링되어 프로세스 챔버(10)의 제1 프로세스 재료, 제2 프로세스 재료, 또는 양자에 전력을 커플링하도록 구성된 제1 전원(50)을 포함할 수 있다. 제1 전원(50)은 가변 전원일 수 있고, RF(radio frequency) 발생기 및 임피던스 매칭 네트워크를 포함할 수도 있으며, 그것을 통해 RF 전력이 프로세스 챔버(10)의 플라즈마에 커플링되는 전극을 더 포함할 수도 있다. 전극은 상부 어셈블리(30)에 형성될 수 있고, 전극은 기판 홀더(20)와 대향하도록 구성될 수 있다. 임피던스 매칭 네트워크는, 매칭 네트워크의 출력 임피던스를, 전극 및 플라즈마를 포함하는, 프로세스 챔버의 입력 임피던스와 매칭하는 것에 의해, RF 발생기로부터 플라즈마로의 RF 전력 전달을 최적화하도록 구성될 수 있다. 예를 들어, 임피던스 매칭 네트워크는 반사 전력을 감소시키는 것에 의해 플라즈마로의 RF 전력 전달을 플라즈마 프로세스 챔버(10)에서 향상시키는 역할을 한다. 매칭 네트워크 토폴로지들(예를 들어, L-형, π-형, T-형 등) 및 자동 제어 방법들은 당업자들 에게 널리 공지되어 있다.
다른 방법으로, 제1 전원(50)은 RF(radio frequency) 발생기 및 임피던스 매칭 네트워크를 포함할 수도 있고, 그것을 통해 RF 전력이 프로세스 챔버(10)의 플라즈마에 커플링되는, 유도 코일(inductive coil)과 같은, 안테나를 더 포함할 수도 있다. 안테나는, 예를 들어, 유도성 결합 플라즈마 소스 또는 헬리콘 소스에서와 같은, 나선형 또는 원통형 코일을 포함할 수 있거나, 안테나는, 예를 들어, 플라즈마 소스에 커플링된 프랜스포머에서와 같이 평판 코일(flat coil)을 포함할 수 있다.
다른 방법으로, 제1 전원(50)은 마이크로파 주파수 발생기를 포함할 수도 있고, 그것을 통해 마이크로파 전력이 프로세스 챔버(10)의 플라즈마에 커플링되는 마이크로파 안테나 및 마이크로파 윈도우를 더 포함할 수도 있다. 마이크로파 전력의 커플링은 전자 회전 공명(ECR; electron cyclotron resonance) 기술을 사용해 실현될 수 있거나, 마이크로파 전력의 커플링은, 그것의 내용들이 전체로서 여기에 참고 문헌으로써 포함되어 있는, "Plasma precessing apparatus for etching, ashing, and film-formation"이라는 명칭의 미국특허 제5,024,716호에서 설명된 바와 같이, SPA(slotted plane antenna)와 같은, 표면파 플라즈마 기술을 사용해 이용될 수도 있다.
선택적으로, 플라즈마 발생 시스템은 상부 어셈블리(30)의 제1 전극 및, 도 1b에 도시된 바와 같이, 증착 시스템(1')의 상부 어셈블리(30) 주변부에 배치된 제2 전극(3OA)을 포함한다. 실시예에서, 제2 전극(3OA)은 기판(25)의 바깥쪽 에지 위쪽에 배치된다. 더 나아가, 전극(3OA)은, 여기에서 부연되는 바와 같이, 플라즈마 발생 가스를 주입하도록 구성된 가스 주입 어셈블리를 포함할 수도 있다. 전력은 제1 전원(50)으로부터 또는 도 1b에 도시되지 않은 독립 전원으로부터 제2 전극(3OA)으로 커플링될 수도 있다. 전원(50)으로부터 전극(3OA)에 전력이 공급되는 경우, 전력 분배기 네트워크(power divider network)가 사용되어, 전극(3OA)에 제공되는 전력이 위상, 및/또는 진폭, 및/또는 주파수에 있어서 상부 어셈블리(30)의 전극에 제공되는 전력과 상이하다는 것을 보장할 수도 있다. 전극(30A)에 전력을 공급하는 전원은 전원(50)과 관련하여 설명된 구성들 중 어떤 것일 수 있거나, 다른 적당한 구성들이 사용될 수도 있다. 예를 들어, 전극(3OA)은 RF(radio frequency) 전력에 커플링된 링 전극, 일회전(single-turn) 코일, 또는 나선형 코일을 구비할 수도 있다. 다른 유도성 결합 디바이스들이 플라즈마에 전자파 전력을 공급하는데 사용될 수도 있다. 예를 들어, 그러한 일 디바이스가 "Plasma Precessing System with Locally-Efficient Inductive Plasma Coupling"이라는 명칭의 계류중인 미국 특허출원 제10/717,268호(attorney docket no. USP03Z003)에서 설명된다. 전력 공급을 위한 통상적인 주파수는 약 0.1 MHz에서 약 100 MHz의 범위일 수 있다.
선택적으로, 증착 시스템(1)은 프로세스 챔버(10)로의 제1 프로세스 재료 및 제2 프로세스 재료의 교대하는 도입의 적어도 일부분 동안 플라즈마를 발생시키도록 구성되거나 플라즈마의 발생을 지원하도록 구성된 기판 바이어스 발생 시스템을 구비한다. 기판 바이어스 시스템은 프로세스 챔버(10)에 커플링되어 기판(25)에 전력을 커플링하도록 구성된 기판 전원(52)을 포함할 수 있다. 기판 전원(52)은 RF 발생기 및 임피던스 매칭 네트워크를 포함할 수도 있고, 그것을 통해 RF 전력이 기판(25)으로 커플링되는 전극을 더 포함할 수도 있다. 전극은 기판 홀더(20)에 형성될 수도 있다. 예를 들어, 기판 홀더(20)는 (도시되어 있지 않은) RF 발생기로부터 (도시되어 있지 않은) 임피던스 매칭 네트워크를 경유하여 기판 홀더(20)에 이르는 RF 전력의 전송에 의해 RF 전압에서 전기적으로 바이어스될 수 있다. RF 바이어스를 위한 통상적인 주파수는 약 0.1 MHz에서 약 100 MHz의 범위일 수 있다. 플라즈마 프로세싱을 위한 RF 바이어스 시스템들은 당업자들에게 널리 공지되어 있다. 다른 방법으로, RF 전력은 다중 주파수들(multiple frequencies)에서 기판 홀더 전극에 인가된다.
플라즈마 발생 시스템 및 선택적인 기판 바이어스 시스템이 도 1a에서는 별도 엔티티들로서 예시되지만, 그것들이 실제로는 기판 홀더(20)에 커플링된 하나 이상의 전원들을 구비할 수도 있다. 더 나아가, 전극(30A)에 전력을 공급하는데 사용되는 전원은, 도 1b에 도시된 바와 같이, 전원들(50 및 52) 중 하나 또는 전원들(50 및 52) 양자와 조합될 수도 있다.
여전히 도 1a를 참조하면, 증착 시스템(1)은 기판 홀더(20)에 커플링되어 기판(25)의 온도를 상승시키고 제어하도록 구성된 기판 온도 제어 시스템(60)을 구비한다. 기판 온도 제어 시스템(60)은, 기판 홀더(20)로부터 열을 수신하고 그 열을 (도시되어 있지 않은) 열 교환기 시스템으로 전달하는 또는, 가열할 때에는, 열 교환기 시스템으로부터 열을 전달하는 재순환 냉각제 흐름을 포함하는 냉각 시스템과 같은, 온도 제어 소자들을 구비한다. 추가적으로, 온도 제어 소자들은, 기판 홀더(20) 뿐만 아니라 프로세스 챔버(10)의 챔버 벽 및 증착 시스템(1)내의 다른 임의 컴포넌트에 포함될 수 있는, 저항성 가열 소자들 또는 열전(thermo-electric) 가열기들/냉각기들과 같은, 가열/냉각 소자들을 포함할 수 있다.
기판(25)과 기판 홀더(20) 사이의 열 전달을 향상시키기 위해, 기판 홀더(20)는 기판(25)을 기판 홀더(20)의 상부면에 부착시키기 위한 기계적 클램핑 시스템(mechanical clamping system) 또는, 정전(electrostatic) 클램핑 시스템과 같은, 전기적 클램핑 시스템을 포함할 수 있다. 더 나아가, 기판 홀더(20)는, 기판(25)과 기판 홀더(20) 사이의 가스-갭 열전도율(gas-gap thermal conductance)을 향상시키기 위해, 기판(25)의 후면으로 가스를 도입하도록 구성된 기판 후면 가스 전달 시스템을 더 포함할 수 있다. 그러한 시스템은, 상승되거나 감소된 온도들에서 기판의 온도 제어가 요구될 때, 이용될 수 있다. 예를 들어, 기판 후면 가스 시스템은 2-구역 가스 분배 시스템을 구비할 수 있는데, 이 경우, 헬륨 가스 갭 압력은 기판(25)의 중앙과 에지 사이에서 독립적으로 변경될 수 있다.
더 나아가, 프로세스 챔버(10)는 부가적으로, 덕트(38)를 통해, 진공 펌핑 시스템(34) 및 밸브(36)를 포함하는 압력 제어 시스템(32)에 커플링되는데, 이 경우, 압력 제어 시스템(32)은 기판(25)상에 박형 필름을 형성하기에 적합한 그리고 제1 및 제2 프로세스 재료들의 사용에 적합한 압력으로 프로세스 챔버(10)를 제어 가능하게 소개(evacuation)시키도록 구성된다. 도 1b에서 알 수 있는 바와 같이, 증착 시스템(1)은, 다음에서 부연되는 바와 같이, 상부 어셈블리(30)의 가스 주입 홀들을 통한 진공 펌핑에 적합한 진공 펌프(34A)를 선택적으로 포함할 수도 있다. 도 1b에서는 개략적으로 도시되었지만, 진공 펌프(34A)는 진공 펌프(34)에서 사용된 것과 같은 밸브 및 덕트를 포함할 수도 있다.
진공 펌핑 시스템들(34 및 34A)은 약 5000 리터/초(및 그 이상)까지 펌핑 속도를 높일 수 있는 터보 분자 펌프(TMP; turbo-molecular vacuum pump) 또는 크라이오 펌프(cryogenic pump)를 포함할 수 있고, 밸브(36)는 챔버 압력을 조절판으로 조절하기 위한 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 이용되는 전통적인 플라즈마 프로세싱 디바이스들에서는, 300 내지 5000 리터/초 TMP가 일반적으로 이용된다. 더 나아가, (도시되어 있지 않은) 챔버 압력을 모니터링하기 위한 디바이스가 프로세스 챔버(10)에 커플링될 수도 있다. 압력 측정 디바이스는, 예를 들어, MKS Instruments, Inc.(미국 매사추세츠주 앤도버 소재)로부터 구입 가능한 Type 628B Baratron 앱솔루트 커패시턴스 마노미터(absolute capacitance manometer)일 수 있다.
여전히 도 1a 및 도 1b를 참조하면, 제어기(70)는 마이크로프로세서, 메모리, 및 증착 시스템(1;1')으로의 입력들을 전달하고 활성화할 뿐만 아니라 증착 시스템(1;1')으로부터의 출력들을 모니터링하기에 충분한 제어 전압들을 발생시킬 수 있는 디지털 I/O 포트를 구비할 수 있다. 더 나아가, 제어기(70)는 프로세스 챔버(10), 기판 홀더(20), 상부 어셈블리(30), 전극(3OA), 제1 프로세스 재료 공급 시스템(40), 제2 프로세스 재료 공급 시스템(42), 퍼지 가스 공급 시스템(44), 제1 전원(50), 제2 전원(52), 기판 온도 제어기(60), 및 압력 제어 시스템(32)에 커플 링될 수 있고 그것들과 정보를 교환할 수도 있다. 에칭 프로세스 또는 증착 프로세스를 수행하기 위해, 예를 들어, 메모리에 저장된 프로그램이 프로세스 레시피(process recipe)에 따라 증착 시스템(1;1')의 상기 컴포넌트들로의 입력들을 활성화하는데 이용될 수도 있다. 제어기(70)의 일례는 미국 텍사스주 오스틴 소재의 델(Dell Corporation)사로부터 입수 가능한 DELL PRECISION WORKSTATION 610TM이다.
그러나, 제어기(70)는, 메모리에 포함된 하나 이상 명령어들의 하나 이상 시퀀스들을 실행중인 프로세서에 응답하여 발명의 마이크로프로세서 기반 프로세싱 단계들의 일부분 또는 전부를 수행하는 범용 컴퓨터 시스템으로서 구현될 수도 있다. 그러한 명령어들은, 하드 디스크 또는 분리형 미디어 드라이브와 같은, 다른 컴퓨터 판독 가능 매체로부터 제어기 메모리로 판독될 수도 있다. 멀티프로세싱 장치의 하나 이상의 프로세서들이 메인 메모리에 포함된 명령어들의 시퀀스들을 실행하기 위한 제어기 마이크로프로세서로서 이용될 수도 있다. 다른 실시예들에서는, 하드와이어드 회로(hard-wired circuitry)가 소프트웨어 명령어들 대신에 또는 소프트웨어 명령어들과 협력하여 사용될 수도 있다. 이와 같이, 하드웨어 회로와 소프트웨어의 특정한 임의 조합으로 실시예들이 제한되지 않는다.
제어기(70)는, 발명의 내용들에 따라 프로그램된 명령어들을 보유하기 위한 그리고 본 발명을 구현하는데 필요할 수도 있는 데이터 구조들, 테이블들, 레코드들, 또는 다른 데이터를 포함하기 위한, 제어기 메모리와 같은, 하나 이상의 컴퓨터 판독 가능 매체 또는 메모리를 포함한다. 컴퓨터 판독 가능 매체들의 일례들로 는 CD들(compact discs), 하드 디스크들, 플로피 디스크들, 테이프, 광-자기 디스크들(magneto-optical disks), PROM들(EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM 또는 임의의 다른 자성 매체, CD들(compact discs;예를 들어, CD-ROM) 또는 임의의 다른 광학 매체, 천공 카드들, 종이 테이프 또는 홀들의 패턴들을 갖춘 다른 물리적 매체, (후술되는) 반송파, 또는 컴퓨터가 판독할 수 있는 다른 임의 매체를 들 수 있다.
본 발명은, 컴퓨터 판독 가능 매체들 중 어느 하나 또는 컴퓨터 판독 가능 매체들의 조합에 저장된, 제어기(70)를 제어하기 위한, 발명을 구현하기 위한 디바이스 또는 디바이스들을 구동하기 위한, 그리고/또는 제어기가 인간 사용자와 상호 작용하는 것을 가능하게 하기 위한 소프트웨어를 포함한다. 그러한 소프트웨어로는, 디바이스 드라이버들, 오퍼레이팅 시스템들, 개발 도구들, 및 애플리케이션들의 소프트웨어를 들 수도 있지만, 그것으로 제한되는 것은 아니다. 그러한 컴퓨터 판독 가능 매체들은, 발명을 구현하면서 수행되는 프로세싱의 전부 또는 (프로세싱이 분산된다면) 일부분을 수행하기 위한 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 발명의 컴퓨터 코딩 디바이스들은, 스크립트들, 해석 가능한 프로그램들, DLL들(dynamic link libraries), 자바 클래스들, 및 완전한 실행 가능 프로그램들을 포함하지만, 그것들로 제한되는 것은 아닌, 임의의 해석 가능한 또는 실행 가능한 코드 메커니즘일 수도 있다. 더 나아가, 본 발명의 프로세싱의 구성 요소들은 좀더 양호한 성능, 신뢰도, 및/또는 비용을 위해 분산될 수도 있다.
여기에서 사용되는 "컴퓨터 판독 가능 매체"라는 용어는, 명령어들을 실행을 위해 제어기(70)의 프로세서로 제공하는데 참여하는 임의 매체를 의미한다. 컴퓨터 판독 가능 매체는, 비휘발성 매체들, 휘발성 매체들, 및 전송 매체들을 포함하지만, 그것들로 제한되는 것은 아닌, 다수 형태들을 취할 수도 있다. 비휘발성 매체들은, 예를 들어, 하드 디스크 또는 분리형 미디어 드라이브와 같은, 광학, 자기 디스크들, 및 광-자기 디스크들을 포함한다. 휘발성 매체들은, 메인 메모리와 같은, 동적 메모리를 포함한다. 더 나아가, 다양한 형태의 컴퓨터 판독 가능 매체들이 실행을 위해 하나 이상 명령어들의 하나 이상 시퀀스들을 제어기의 프로세서로 전달하는데 관련될 수도 있다. 예를 들어, 명령어들은 처음에 원격 컴퓨터의 자기 디스크를 통해 전달될 수도 있다. 원격 컴퓨터는 본 발명의 전부 또는 일부분을 구현하기 위한 명령어들을 동적 메모리로 원격적으로 로딩하고 명령어들을 네트워크를 통해 제어기(70)로 송신할 수 있다.
제어기(70)는 증착 시스템(1;1')과 관련하여 국지적으로 배치될 수 있거나, 증착 시스템(1;1')과 관련하여 원격적으로 배치될 수도 있다. 예를 들어, 제어기(70)는 직접 접속, 인트라넷, 인터넷, 및 무선 접속 중 하나 이상을 사용해 증착 시스템(1;1')과 데이터를 교환할 수도 있다. 제어기(70)는, 예를 들어, C/S(customer site;즉, 디바이스 메이커 등)에서 인트라넷에 커플링될 수 있거나, 예를 들어, V/S(vendor site;즉, 장비 제조업자)에서 인트라넷에 커플링될 수도 있다. 추가적으로, 예를 들어, 제어기(70)는 인터넷에 커플링될 수도 있다. 더 나아가, 다른 컴퓨터(즉, 제어기, 서버 등)가, 예를 들어, 직접 접속, 인트라넷, 및 인터넷 중 하나 이상을 통해 데이터를 교환하기 위해 제어기(70)에 액세스할 수도 있다. 당업자들이라면 알 수 있는 바와 같이, 제어기(70)는 무선 접속을 통해 증착 시스템(1;1')과 데이터를 교환할 수도 있다.
이제 도 2a를 참조하면, 증착 시스템(101)이 묘사된다. 증착 시스템(101)은, 그 위에 박형 필름이 형성되는 기판(125)을 지지하도록 구성된 기판 홀더(120)를 갖춘 프로세스 챔버(110)를 구비한다. 프로세스 챔버(110)는 제1 프로세스 재료 공급 시스템(140), 제2 프로세스 재료 공급 시스템(142), 및 퍼지 가스 공급 시스템(144)에 커플링된 상부 어셈블리(130)를 더 구비한다. 추가적으로, 증착 시스템(101)은 프로세스 챔버(110)에 커플링되어 프로세스 챔버(110)에서 플라즈마를 발생시키도록 구성된 제1 전원(150) 및 기판 홀더(120)에 커플링되어 기판(125)의 온도를 상승시키고 제어하도록 구성된 기판 온도 제어 시스템(160)을 구비한다. 추가적으로, 증착 시스템(101)은, 프로세스 챔버(110), 기판 홀더(120), 상부 어셈블리(130), 제1 프로세스 재료 공급 시스템(140), 제2 프로세스 재료 공급 시스템(142), 퍼지 가스 공급 시스템(144), 제1 전원(150), 및 기판 온도 제어 시스템(160)에 커플링될 수 있는 제어기(170)를 구비한다. 제어기(170)는, 예를 들어, 전술한 도 1a 및 도 1b를 참조하여 설명된 제어기(70)와 같이 구현될 수도 있다.
증착 시스템(101)은 200 mm 기판들, 300 mm 기판들, 또는 좀더 큰 사이즈의 기판들을 프로세싱하도록 구성될 수도 있다. 사실상, 증착 시스템은, 당업자들이라면 알 수 있는 바와 같이, 기판들, 웨이퍼들, 또는 LCD들을 그것들의 사이즈에 상관없이 프로세싱하도록 구성될 수 있다는 것이 예상된다. 기판들은 통로(112)를 통해 프로세스 챔버(110)로 도입될 수 있고, 기판들은 기판 리프트 시스템(122)에 의해 기판 홀더(120)의 상부면으로 그리고 기판 홀더(120)의 상부면으로부터 리프트될 수도 있다.
제1 프로세스 재료 공급 시스템(140) 및 제2 프로세스 재료 공급 시스템(142)은 프로세스 챔버(110)로 제1 프로세스 재료를 그리고 프로세스 챔버(110)로 제2 프로세스 재료를 교대하여 도입하도록 구성된다. 제1 재료 도입과 제2 재료 도입의 교대는 주기적일 수 있거나, 제1 재료 도입과 제2 재료 도입 사이의 가변 시주기들로 인해 비주기적일 수도 있다. 제1 프로세스 재료는, 예를 들어, 기판(125)상에 형성된 필름에서 발견되는 기본 원자 또는 분자종들을 가진 조성물과 같은, 필름 선구체를 구비할 수 있다. 예를 들어, 필름 선구체는 고체 상태, 액체 상태, 또는 가스 상태로서 발생할 수 있고, 필름 선구체는 캐리어 가스를 사용하거나 캐리어 가스를 사용하지 않으면서 가스 상태로 프로세스 챔버(110)로 전달될 수도 있다. 제2 프로세스 재료는, 예를 들어, 이 또한 기판(125)상에 형성된 필름에서 발견되는 원자 또는 분자종들을 포함할 수도 있는 환원제를 구비할 수 있다. 예를 들어, 환원제는 고체 상태, 액체 상태, 또는 가스 상태로서 발생할 수 있고, 환원제는 캐리어 가스를 사용하거나 캐리어 가스를 사용하지 않으면서 가스 상태로 프로세스 챔버(110)로 전달될 수도 있다.
제1 프로세스 재료 및 제2 프로세스 재료는 기판상에 증착될 재료의 조성 및 특징들에 따라 선택된다. 예를 들어, 배리어층으로서의 Ta(tantalum)의 증착 동 안, 제1 프로세스 재료는, TaCl5(tantalum pentachloride)와 같은, 고체 필름 선구체를 포함할 수 있고, 제2 프로세스 재료는, 수소(H2) 가스와 같은, 환원제를 포함할 수 있다. 다른 일례로서, 배리어층으로서의 TaN(tantalum nitride) 또는 TaCN(tantalum carbonitride)의 증착 동안, 제1 프로세스 재료는, 이하에서, Taimata®(추가적 세부 사항들을 위해서는, 미국특허 제6,593,484호를 참고한다)라고 하는, Ta(NC(CH3)2C2H5)(N(CH3)2)3(tertiary amyl imido-tris-dimethylamido tantalum)와 같은, 금속 유기 필름 선구체를 포함할 수 있고, 제2 프로세스 재료는, 수소(H2), 암모니아(NH3), 실란(SiH4), 디실란(Si2H6), 또는 그것에 관한 조합과 같은, 환원제를 포함할 수 있다. 또 다른 일례로서, TaNx(즉, tantalum nitride)를 증착할 때, 제1 선구체는, TaCl5, PDEAT(pentakis(diethylamido) tantalum), PEMAT(pentakis(ethylmethylamido) tantalum), TaBr5, 또는 TBTDET(t-butylimino tris(diethylamino) tantalum)와 같은, 탄탈 함유 선구체를 포함할 수 있다. 제2 선구체는 H2와 N2의 혼합물, 또는 NH3를 포함할 수 있다. 더 나아가, 오산화탄탈(tantalum pentoxide)을 증착할 때, 제1 프로세스 재료는 TaCl5를 포함할 수 있고, 제2 프로세스 재료는 H2O, 또는 H2와 O2를 포함할 수 있다. 제1 및 제2 프로세스 재료의 다른 일례들은 도 5와 관련하여 다음에서 제공될 것이다.
추가적으로, 퍼지 가스 공급 시스템(144)은 프로세스 챔버(110)로 퍼지 가스 를 도입하도록 구성될 수 있다. 예를 들어, 퍼지 가스의 도입은, 각각, 프로세스 챔버(110)로의 제1 프로세스 재료 도입과 제2 프로세스 재료 도입 사이에서 발생할 수 있거나, 프로세스 챔버(110)로의 제2 프로세스 재료 도입에 뒤이어 발생할 수도 있다. 퍼지 가스는, 희가스(즉, 헬륨, 네온, 아르곤, 크세논, 크립톤) 또는 질소와 같은, 불활성 가스 또는 수소를 구비할 수 있다. 일 실시예에서, 퍼지 가스 공급 시스템(144)은, 여기에서 부연되는 바와 같이, 챔버(110)로 반응성 퍼지 가스를 도입하도록 구성될 수도 있다.
제1 재료 공급 시스템(140), 제2 재료 공급 시스템(142), 및 퍼지 가스 공급 시스템(144)은 하나 이상의 재료 소스들, 하나 이상의 압력 제어 디바이스들, 하나 이상의 흐름 제어 디바이스들, 하나 이상의 필터들, 하나 이상의 밸브들, 또는 하나 이상의 흐름 센서들을 포함할 수 있다. 도 1a 및 도 1b를 참조하여 논의된 바와 같이, 흐름 제어 디바이스들은 공기 구동(pneumatic driven) 밸브들, 전자 기계(electro-mechanical)(솔레노이드) 밸브들, 및/또는 고속 펄스형(high-rate pulsed) 가스 주입 밸브들을 포함할 수 있다. 예시적인 펄스형 가스 주입 시스템은, 전체로서 여기에 참고 문헌으로써 포함되어 있는, 2001년 3월 2일에 출원된, 계류중인 미국 특허출원 제60/272,452호에서 좀더 상세하게 설명된다.
여전히 도 2a를 참조하면, 제1 프로세스 재료는 제1 재료 라인(141)을 통해 프로세스 챔버(110)에 커플링되고, 제2 프로세스 재료는 제2 재료 라인(143)을 통해 프로세스 챔버(110)에 커플링된다. 추가적으로, 퍼지 가스는 (도시된 바와 같은) 제1 재료 라인(141), (도시된 바와 같은) 제2 재료 라인(143), 독립 라인, 또 는 그것에 관한 임의 조합을 통해 프로세스 챔버(110)에 커플링될 수도 있다. 도 2a의 실시예에서, 제1 프로세스 재료, 제2 프로세스 재료, 및 퍼지 가스는 가스 주입 어셈블리(180)를 포함하는 상부 어셈블리(130)를 통해 프로세스 챔버(110)내에 도입되고 분산된다. 도 2a에 도시되지는 않았지만, 측벽 가스 주입 밸브가 프로세싱 시스템에 포함될 수도 있다. 가스 주입 어셈블리(180)는, 절연 어셈블리(188)에 의해 프로세스 챔버(110)로부터 전기적으로 절연되는 제1 주입 플레이트(182), 제2 주입 플레이트(184), 및 제3 주입 플레이트(186)를 구비할 수도 있다. 제1 프로세스 재료는 제1 프로세스 재료 공급 시스템(140)으로부터 제2 주입 플레이트(184)와 제3 주입 플레이트(186) 사이에 형성된 제1 플레넘(190)을 경유하여 제2 주입 플레이트(184)의 쓰루-홀들(through-holes)의 제1 어레이(194) 및 제1 주입 플레이트(182)의 제1 구멍 어레이(195)를 통해 프로세스 챔버(110)로 커플링된다. 제2 프로세스 재료, 퍼지 가스, 또는 양자는 제2 프로세스 재료 공급 시스템(142) 또는 퍼지 가스 공급 시스템(144)으로부터 제2 주입 플레이트(184)에 형성된 제2 플레넘(192)을 경유하여 제1 주입 플레이트(182)의 구멍들의 제2 어레이(197)를 통해 프로세스 챔버(110)로 커플링된다.
여전히 도 2a를 참조하면, 증착 시스템(101)은 프로세스 챔버(110)로의 제1 프로세스 재료 및 제2 프로세스 재료의 교대하는 주기적 도입의 적어도 일부분 동안 플라즈마를 발생시키도록 구성된 플라즈마 발생 시스템을 구비한다. 플라즈마 발생 시스템은 프로세스 챔버(110)에 커플링되어 프로세스 챔버(110)의 제1 프로세스 재료, 제2 프로세스 재료, 또는 양자에 전력을 커플링하도록 구성된 제1 전 원(150)을 포함할 수 있다. 제1 전원(150)은 가변적일 수도 있고, RF 발생기(154) 및 임피던스 매칭 네트워크(156)를 포함하며, 그것을 통해 RF 전력이 프로세스 챔버(110)의 플라즈마에 커플링되는, 가스 주입 어셈블리(180)와 같은, 전극을 더 포함한다. 전극은 상부 어셈블리(130)에 형성되고 절연 어셈블리(188)에 의해 프로세스 챔버(110)로부터 절연되며, 그것은 기판 홀더(120)와 대향하도록 구성될 수 있다. RF 주파수는, 예를 들어, 약 100 kHz에서 약 100 MHz의 범위일 수 있다. 다른 방법으로, RF 주파수는, 예를 들어, 약 400 kHz에서 약 60 MHz의 범위일 수 있다. 추가적 일례로써, RF 주파수는, 예를 들어, 약 27.12 MHz일 수 있다.
선택적으로, 플라즈마 발생 시스템은 상부 어셈블리(130)에 제1 전극을 그리고, 도 2b의 증착 시스템(101')에 도시된 바와 같이, 상부 어셈블리(130)의 주변부에 배치된 제2 전극(130A)을 포함한다. 실시예에서, 제2 전극(130A)은 기판(125)의 바깥쪽 에지 위쪽에 배치된다. 전극(130A)은 플라즈마 발생 가스를 주입하도록 구성된 가스 주입 어셈블리를 포함할 수도 있다. 전력은 제1 전원(150)으로부터 또는 도 2b에 도시되지 않은 독립 전원으로부터 제2 전극(130A)에 커플링될 수도 있다. 전원(150)으로부터 전극(130A)에 전력이 공급되는 경우, 전극(130A)에 제공되는 전력이 위상, 주파수, 전력 레벨 등과 같은 특징들에서 상부 어셈블리(130)의 전극에 제공되는 전력과는 상이하게 하기 위해 전력 분배기 네트워크가 사용될 수도 있다. 전극(130A)에 전력을 공급하는 전원은 전원(150)과 관련하여 설명된 구성들 중 어떤 것일 수 있거나, 적당한 다른 구성들이 사용될 수도 있다. 예를 들어, 전극(130A)은 RF 전력에 커플링된 링 전극, 일회전 코일, 또는 나선형 코일을 구비할 수도 있다. 예를 들어, 그러한 일 디바이스가 "Plasma Precessing System with Locally-Efficient Inductive Plasma Coupling"이라는 명칭의 계류중인 미국 특허출원 제10/717,268호(attorney docket no. USP03Z003)에서 설명된다. 전력 공급을 위한 통상적인 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위일 수 있다.
여전히 도 2a를 참조하면, 증착 시스템(101)은 기판 홀더(120)에 커플링되어 기판(125)의 온도를 상승시키고 제어하도록 구성된 기판 온도 제어 시스템(160)을 구비한다. 기판 온도 제어 시스템(160)은, 질화알루미늄 가열기와 같은 저항성 가열 소자를 포함하는, 하나 이상의 온도 제어 소자를 구비한다. 기판 온도 제어 시스템(160)은, 예를 들어, 기판 온도를 대략 350℃에서 400℃까지 상승시키고 제어하도록 구성될 수 있다. 다른 방법으로, 기판 온도는, 예를 들어, 대략 150℃에서 350℃의 범위일 수 있다. 그러나, 기판의 온도는 소정 기판의 표면상에 특정 재료의 ALD 증착을 발생시키기 위한 소정 온도에 기초해 선택된다는 것이 이해될 수 있어야 한다. 따라서, 온도는 앞서 설명된 것보다 높거나 낮을 수 있다.
더 나아가, 프로세스 챔버(110)는 부가적으로, 덕트(138)를 통해, 진공 펌핑 시스템(134) 및 밸브(136)를 포함하는 압력 제어 시스템(132)에 커플링되는데, 이 경우, 압력 제어 시스템(132)은 기판(125)상에 박형 필름을 형성하기에 적합한 그리고 제1 및 제2 프로세스 재료들의 사용에 적합한 압력으로 프로세스 챔버(110)를 제어 가능하게 소개시키도록 구성된다. 도 2b에서 알 수 있는 바와 같이, 증착 시스템(101')은 선택적으로, 다음에서 부연되는 바와 같이, 상부 어셈블리(130)의 가스 주입 홀들을 통한 진공 펌핑에 적합한 진공 펌프(134A)를 포함할 수도 있다. 도 2b에는 개략적으로 도시되었지만, 진공 펌프(134A)는 진공 펌프(134)에서 사용된 것과 같은 밸브 및 덕트를 포함할 수도 있다. 진공 펌핑 시스템의 밸브는 라인(141 및 143)을 선택적으로 펌핑할 수 있다. 더 나아가, 진공 펌프(134A)는 주변 전극(130A)의 구멍들에 커플링되어 이 전극에 진공 펌프 사양을 제공할 수도 있다.
이제 도 3을 참조하면, 증착 시스템(1/1'/101/101';도 1a/도 1b/도 2a/도 2b에 의해 지시된 참조 번호)은 본 발명의 실시예에 따른 PEALD(plasma enhanced atomic layer deposition) 프로세스를 수행하도록 구성될 수 있다. 도 3은 본 발명의 예시적 실시예에 따른 예시적 PEALD 프로세스를 위한 타이밍도이다. 이 도면에서 알 수 있는 바와 같이, 제1 프로세스 재료는 기판(25/125)의 노출된 표면들에서 필름 선구체(제1 프로세스 재료)의 흡착을 발생시키기 위해 제1 시주기(310) 동안 프로세스 챔버(10/110)로 도입된 다음, 프로세스 챔버(10/110)는 제2 시주기(320) 동안 퍼지 가스로 소거된다. 그후, 환원제(제2 프로세스 재료)는, 340에 의해 도시된 바와 같이, 전력이 제1 전원(50/150)으로부터 상부 어셈블리(30/130)를 통해 환원제로 커플링되는 동안, 프로세스 챔버(10/110)로 제3 시주기(330)동안 도입된다. 환원제로의 전력 커플링은 환원제를 가열함으로써, 기판(25/125)으로 흡착된 제1 선구체와 화학적으로 반응하는 라디칼(radical)을 형성하기 위해, 환원제의 이온화 및/또는 해리를 발생시킨다. 기판(25/125)이 높은 온도로 가열될 때, 표면 화학 반응은 소정 필름의 형성을 용이하게 한다. 프로세스 챔버(10/110)는 제4 시주기 동안 퍼지 가스로 소거된다. 제1 및 제2 프로세스 재료들의 도입과 플 라즈마의 형성은 임의 횟수만큼 반복되어 기판상에 소정 두께의 필름을 발생시킬 수 있다.
도 3은 제1 프로세스 재료의 이산 펄스들(discrete pulses)을 도시하지만, 제1 프로세스 재료는, 예를 들어, 캐리어 가스상의 연속적인 흐름일 수도 있는데, 이 경우, 그러한 연속적인 흐름이, 기판 표면상으로의 증착 이전에 제2 프로세스 재료와의 바람직스럽지 않은 반응을 발생시키지는 않을 것이다. 도 3은 환원 가스 주기 동안의 플라즈마 발생만을 나타내지만, 플라즈마는 제1 프로세스 재료의 기판 표면으로의 흡착을 용이하게 하기 위해 제1 프로세스 재료의 주기 동안에도 발생될 수 있다. 더 나아가, 제2 프로세스 재료의 시주기(330) 및 플라즈마 시주기(340)는 도 3에서 정확하게 서로 대응되는 것으로 도시되지만, 당업자들이라면 알 수 있는 바와 같이, 본 발명의 목적들을 위해, 그러한 시주기들은 단지 중첩하는 것으로 충분하다.
상기 배경 기술 섹션에서 논의된 바와 같이, ALD 프로세스들의 광범위한 허용에 대한 한가지 장애물은 그러한 프로세스들의 비교적 느린 증착 속도였었다. 특히, 전통적인 ALD 프로세스들은 통상적으로, 환원 반응이 통상적으로 사이클 시간의 대략 10초를 차지하는 상태에서, 재료의 단일층을 증착하는데 대략 15-20초의 사이클을 요한다. 본 발명자들은 이러한 증착 시간을 감소시키기 위한(또는 증착 속도를 향상시키기 위한) 노력으로 전통적인 ALD 프로세스들의 프로세스 파라미터들을 연구하여 왔다. 결과적으로, 본 발명자들은, 600W 이하의 전통적인 플라즈마 전력이 환원 반응 시간을 가속하기 위해 증가될 수도 있다고 판정하였다. 예를 들 어, 오염화탄탈을 제1 프로세스 재료로서 그리고 수소를 제2 프로세스 재료로서 사용해, 얇고 정합적인 탄탈-함유 필름을 준비하기 위해 도 3에서 설명된 것과 같은, PEALD 프로세스를 수행함에 있어서, 약 1000W의 전력이 수소 환원제에 커플링되었다. 이 전력 레벨에서는, 포화 상태로의 환원 반응 완결이, 600W의 플라즈마 전력 프로세스를 위해 통상적인 약 10초가 아니라, 약 5초에 실현되었다.
예를 들어, 환원 단계 동안 오염화탄탈을 제1 프로세스 재료로서 그리고 수소를 제2 프로세스 재료로서 사용해 탄탈(Ta)의 박형 필름을 형성하기 위한 예시적 PEALD 프로세스를 위한 프로세스 파라미터들이 표 1에서 제공된다.
TaCl5 (deg C) 캐리어 Ar (sccm) H2(sccm) Ar(sccm) 시간 (초) 전력 (W) P(Torr)
TaCl5 140 20 0 500 3 0
퍼지 0 0 2000 0 3 0
H2 0 0 2000 0 도 4b를 위한 10 도 4a를 위한 1000 0.4
퍼지 0 0 0 500 3 0
표 1은, 왼쪽에서 오른쪽으로, ALD 프로세스 단계, 제1 프로세스 재료(TaCl5)를 승화하도록 구성된 증발 시스템을 위해 설정된 온도, 증착 시스템을 통과하는 Ar의 유속(캐리어 Ar, sccm), 환원 단계 동안의 수소의 유속(H2 sccm), 프로세스 챔버에 직접적으로 커플링된 Ar의 유속(Ar, sccm), 각각의 단계를 위한 시간, 각 단계 동안 인가되는 전력, 및 각 단계를 위해 설정된 압력을 포함하는 컬럼들을 제공한다. 추가적으로, 기판의 온도가 대략 240℃로 설정되어 있는 동안, 표 1에서 설명된 바와 같은 300 사이클들을 사용해 이산화규소(SiO2) 기판에 탄탈 필름이 형성된다. 도 4a 및 도 4b는 표 1에서 묘사된 예시적 PEALD 프로세스를 위한 프로세스 데이터를 제시한다.
도 4a에서, 환원 단계 동안의 전력(즉, 1000W)을 포함하는 각각의 프로세스 파라미터는 상수로 고정되는 한편, 환원 단계를 위한 시간은 약 3초에서 약 15초까지 변경된다. 전력이 1000W로 증가될 때, 환원 단계를 위한 시간은 약 5초 이상일 수 있다. 이러한 후자의 시구간에서, 필름 두께 및 필름 저항률은 증가하는 시간과 함께 일정해진다.
도 4b에서는, 환원 단계를 위한 시구간(즉, 10초)을 포함하는 각각의 프로세스 파라미터는 상수로 고정되는 한편, 환원 단계 동안 인가되는 전력은 약 500W에서 약 2000W까지 변경된다. 전력이 증가됨에 따라, 필름 두께는 증가하고 필름 저항률은 감소한다. 예를 들어, 약 460 μΩ-cm 미만의 저항률을 가진 탄탈 필름이 형성될 수 있다.
이와 같이, 본 발명자들은, 대략 600W의 전통적인 제한 너머로 플라즈마 전력을 증가시키는 것이 ALD 필름들의 증착 속도 뿐만 아니라 필름 저항률과 같은 필름 특징들을 향상시킬 수 있다는 것을 발견하였다. 더 나아가, 본 발명자들은, 비교적 높은 그러한 플라즈마 전력의 사용이, 제2 프로세스 재료가 챔버로 도입될 때의 환원 반응 동안, 기판상의 제1 프로세스 재료층으로부터 부산물들의 좀더 완전한 방출을 제공한다는 것을 알 수 있었다. 오염화탄탈이 기판 표면상으로 흡착되는 상기 일례로 돌아가면, 약 1000W에서 발생된 수소 플라즈마가 600W에서 발생된 플라즈마보다 좀더 많은 염소를 오염화탄탈층으로부터 방출할 것이다. 예를 들어, 도 4C는, 환원 단계 동안 인가되는 전력이 약 500W에서 약 2000W로 증가됨에 따라, 상술된 PEALD 프로세스를 위한 탄탈 필름에서의 염소 함량 감소를 나타낸다. 따라서, 전력의 증가는, 화학적 부산물 불순물들(chemical by-product impurities)의 양이 감소된 필름을 제공하고, 그에 따라 저항률 또는 유전체 상수와 같은 향상된 필름 특성을 향상시킨다. 예를 들어, 염소 함량이 0.95 원자 백분율(at. %) 미만인 탄탈 필름이 형성될 수 있다.
예를 들어, 좀더 높은 플라즈마 전력에서의 감소된 환원 반응 시간을 위한 일 설명은, 증가된 전력이 플라즈마에서, 기판 표면상의 제1 선구체와 반응할 수 있는, 수소 플라즈마에서의 H+와 같은, 좀더 높은 밀도의 라디칼들을 제공한다는 것이다. 좀더 많은 라디칼들의 이용 가능성은 환원 반응에서 좀더 짧은 포화 시간(saturation time)을 제공한다.
더 나아가, 예를 들어, 다른 설명에 따르면, 표면에서의 환원은 표면 온도에 의존할 수 있고, 그에 따라, 환원 프로세스는 아레니우스 관계식(Arrhenius), 즉,
Figure 112007074368379-PCT00001
에 따른 온도에 의존할 것이다. 플라즈마가, 전기적 중성 가스 환경에서의 활성화 에너지보다 훨씬 낮은 활성화 에너지를 발생시킨다는 것이 공지되어 있다. 감소된 활성화 에너지를 위한 메커니즘은, 중성-중성 상호 작용이 아니라, 이온-중성 상호 작용들에 의해 발생된다. 현저히 감소된 활성화 에너지로 인해, 시간내에 좀더 많은 반응 산물들(reaction products)이 발생되거나, 포화가 좀더 빨리 발생한다.
예를 들어, 일 해석은, 플라즈마 전력의 증가가 활성화 에너지의 좀더 큰 감소를 발생시키는 한편, 플라즈마 전력이 낮을수록 활성화 에너지에서의 감소가 적거나 0 변화가 발생한다는 것이다. 제1 플라즈마 전력(P1)의 경우, 시구간(△t) 동안 수소 라디칼들(
Figure 112007074368379-PCT00002
)에 의해 오염화탄탈(TaCl5)로부터 방출되는 염소(Cl)의 양은 반응물(들) 밀도에 그리고 온도에 의존하는 아레니우스 속도 상수에 비례한다고, 다시 말해, 다음과 같다고 가정한다.
Figure 112007074368379-PCT00003
(P2 > P1)인 제2 플라즈마 전력(P2)에서, (Cl)의 방출량은 다음에 비례한다.
Figure 112007074368379-PCT00004
(P2 > P1)에서
Figure 112007074368379-PCT00005
라는 가정에 기초해
Figure 112007074368379-PCT00006
(여기에서, α≤1)인 것을 고려하면, 양자의 관계들을 (동일한 시구간을 고려하는) 다음의 형태로 재기입할 수 있다.
Figure 112007074368379-PCT00007
이제, 양자의 경우들을 위해 방출된 (Cl) 밀도들의 비는 다음과 같아지는데,
Figure 112007074368379-PCT00008
예를 들면, 다음과 같다.
Figure 112007074368379-PCT00009
마지막 관계의 테일러 급수 전개(Taylor series expansion)로의 디컨볼루션(Deconvolution)은 다음의 관계를 발생시킨다.
Figure 112007074368379-PCT00010
다음과 같은 비는,
Figure 112007074368379-PCT00011
플라즈마 전력에 의한 수소 라디칼들의 단조 증가(monotonic increase)를 가정하면서, 항상 1보다 큰 값을 갖는데, 예를 들어, k1≥1이다. 다음과 같이, 처음의 2개 멤버들만을 남기면서, 무한 급수의 합에서 좀더 높은 차수들을 무시하면,
Figure 112007074368379-PCT00012
(0≤α≤1)의 임의 값들을 위해 (항상)
Figure 112007074368379-PCT00013
이고, 그에 따라,
Figure 112007074368379-PCT00014
라는 것을 알 수 있다. 마지막 추정치들로부터, 다음을 실현할 수 있는데,
Figure 112007074368379-PCT00015
좀더 높은 전력에서는 동일한 시구간내에 수소 라디칼들에 의해 항상 좀더 많은 염소량이 방출된다는 것으로서, 예를 들어,
Figure 112007074368379-PCT00016
이다.
더 나아가, 예를 들어, 다른 설명에 따르면, 기판 표면과의 플라즈마 상호 작용은 이온 충격으로 인해 기판의 유효 표면 온도에 영향을 미칠 수 있다. 증가된 플라즈마 전력은 (상부 어셈블리(30 또는 130)에서의 전극과 같은) 전극에서 좀더 높은 Vpp(peak-to-peak voltage)를 발생시키고, 이것은, 기판으로 입사되는 이온들을 위해 좀더 높은 에너지를 발생시킬 수 있다. 기판 표면과의 좀더 높은 에너지 충돌들은 좀더 높은 유효 표면 온도를 발생시킬 수 있고 표면 반응들을 가속할 수 있다. 시간에 따라, 국지적 온도가 증가하고, 따라서 포화가 좀더 빨리 발생한다.
도 5는 본 발명의 실시예에 따른 ALD 프로세스의 프로세스 흐름도를 나타낸다. 도 5의 프로세스는 도 1a, 도 1b 또는 도 2a, 도 2b의 프로세싱 시스템에 의해 또는 임의의 다른 적당한 프로세싱 시스템에 의해 수행될 수도 있다. 도 5에서 알 수 있는 바와 같이, 프로세스는, 반도체 기판과 같은, 기판이 단계 410에서 프로세스 챔버에 삽입될 때 시작된다. 예를 들어, 기판은 도 1a, 도 1b 또는 도 2a, 도 2b의 시스템들과 관련하여 설명된 홀더(25 또는 125)와 같은 기판 홀더에 정전기적으로 클램핑될 수도 있다. 단계 420에서는, 기판상에 증착하기 위한 제1 프로세스 재료가 프로세스 챔버로 제공된다. 제1 프로세스 재료는, 자기 한정적(self-limiting) 방식으로 기판 표면상에 증착될 수 있는, 화학적으로는 휘발성이지만 열적으로는 안정한 재료일 수 있다. 그러한 증착 특징은 제1 프로세스 재료의 조성과 프로세싱되고 있는 기판에 의존한다. 예를 들어, 제1 프로세스 재료가 기판 표면상에 흡착될 수 있다.
단계 430에서는, 기판 표면상에 소정 필름을 형성하기 위해, 제2 프로세스 재료가 프로세스 챔버에 제공되어, 증착된 제1 프로세스 재료와의 환원 반응을 제공한다. 당업자라면 이해할 수 있는 바와 같이, 제1 및 제2 프로세스 재료들은 기판상에 증착될 소정 필름에 따라 선택된다. 예를 들어, 탄탈-함유 필름을 증착하기 위한 제1 및 제2 프로세스 재료들은 앞서 논의된 탄탈 증착 재료들과 앞서 논의된 환원제들의 임의 조합을 포함할 수 있다.
일례로서, 탄탈(Ta), TaN(tantalum nitride), 또는 TaCN(tantalum carbonitride)을 증착할 때, 제1 프로세스 재료는 TaF5, TaCl5, TaBr5, TaI5, Ta(CO)5, Ta[N(C2H5CH3)]5(PEMAT), Ta[N(CH3)2]5(PDMAT), Ta[N(C2H5)2]5(PDEAT), Ta(NC(CH3)3)(N(C2H5)2)3(TBTDET), Ta(NC2H5)(N(C2H5)2)3, Ta(NC(CH3)2C2H5)(N(CH3)2)3, 또는 Ta(NC(CH3)3)(N(CH3)2)3를 포함할 수 있고, 제2 프로세스 재료는 H2, NH3, N2와 H2, N2H4, NH(CH3)2, 또는 N2H3CH3를 포함할 수 있다.
다른 일례로서, 티타늄(Ti), TiN(titanium nitride), 또는 TiCN(titanium carbonitride)를 증착할 때, 제1 프로세스 재료는 TiF4, TiCl4, TiBr4, TiI4, Ti[N(C2H5CH3)]4(TEMAT), Ti[N(CH3)2]4(TDMAT), 또는 Ti[N(C2H5)2]4(TDEAT)를 포함할 수 있고, 제2 프로세스 재료는 H2, NH3, N2 및 H2, N2H4, NH(CH3)2, 또는 N2H3CH3를 포함할 수 있다.
또 다른 일례로서, 텅스텐(W), WN(tungsten nitride), 또는 WCN(tungsten carbonitride)을 증착할 때, 제1 프로세스 재료는 WF6 또는 W(CO)6를 포함할 수 있고, 제2 프로세스 재료는 H2, NH3, N2와 H2, N2H4, NH(CH3)2, 또는 N2H3CH3를 포함할 수 있다.
또 다른 일례로서, 몰리브덴(Mo)을 증착할 때, 제1 프로세스 재료는 MoF6(molybdenum hexafluoride)를 포함할 수 있고, 제2 프로세스 재료는 H2를 포함할 수 있다.
구리를 증착할 때, 제1 프로세스 재료는, (미국 92009 캘리포니아주 칼스배드 팔로마 오크웨이 1969 소재의) 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드(Air Products and Chemicals, Inc.)로부터 입수 가능한, CupraSelect®의 상표명으로도 공지되어 있는, Cu(TMVS)(hfac)와 같은, 유기금속 화합물들 또는, CuCl과 같은, 무기 화합물들을 포함할 수 있다. 제2 프로세스 재료는 H2, O2, N2, NH3, 또는 H2O 중 하나 이상을 포함할 수 있다. 여기에서 사용되는 바와 같이, "용어, A, B, C, ... 또는 X 중 하나 이상"은 열거된 소자들 중 어느 하나 또는 열거된 소자들 중 하나를 초과하는 임의 조합을 의미한다.
또 다른 일례로서, ZrO2를 증착할 때, 제1 프로세스 재료는 Zr(NO3)4 또는 ZrCl4를 포함할 수 있고, 제2 프로세스 재료는 H2O를 포함할 수 있다.
HfO2를 증착할 때, 제1 프로세스 재료는 Hf(OBut)4, Hf(NO3)4, 또는 HfCl4를 포함할 수 있고, 제2 프로세스 재료는 H2O를 포함할 수 있다. 또 다른 일례로서, 하프늄(Hf)을 증착할 때, 제1 프로세스 재료는 HfCl4를 포함할 수 있고, 제2 프로세스 재료는 H2를 포함할 수 있다.
또 다른 일례로서, 니오브(Nb)를 증착할 때, 제1 프로세스 재료는 NbCl5(niobium pentachloride)를 포함할 수 있고, 제2 프로세스 재료는 H2를 포함할 수 있다.
또 다른 일례로서, 아연(Zn)을 증착할 때, 제1 프로세스 재료는 ZnCl2(zinc dichloride)를 포함할 수 있고, 제2 프로세스 재료는 H2를 포함할 수 있다.
또 다른 일례로서, SiO2를 증착할 때, 제1 프로세스 재료는 Si(OC2H5)4, SiH2Cl2, SiCl4, 또는 Si(NO3)4를 포함할 수 있고, 제2 프로세스 재료는 H2O 또는 O2를 포함할 수 있다. 또 다른 일례로서, 실리콘 나이트라이드를 증착할 때, 제1 프로세스 재료는 SiCl4 또는 SiH2Cl2를 포함할 수 있고, 제2 프로세스 재료는 NH3, 또는 N2와 H2를 포함할 수 있다. 또 다른 일례로서, TiN을 증착할 때, 제1 프로세스 재료 Ti(NO3)(titanium nitrate)를 포함할 수 있고, 제2 프로세스 재료는 NH3를 포함할 수 있다.
또 다른 일례로서, 알루미늄을 증착할 때, 제1 프로세스 재료는 Al2Cl6(aluminum chloride) 또는 Al(CH3)3(trimethylaluminum)를 포함할 수 있고, 제2 프로세스 재료는 H2를 포함할 수 있다. 질화알루미늄를 증착할 때, 제1 프로세스 재료는 알루미늄 트리클로라이드(aluminum trichloride) 또는 트리메틸알루미늄(trimethylaluminum)을 포함할 수 있고, 제2 프로세스 재료는 NH3, 또는 N2와 H2를 포함할 수 있다. 또 다른 일례로서, 알루미늄 옥사이드를 증착할 때, 제1 프로세스 재료는 알루미늄 클로라이드 또는 트리메틸알루미늄을 포함할 수 있고, 제2 프로세스 재료는 H2O, 또는 O2와 H2를 포함할 수 있다.
또 다른 일례로서, GaN을 증착할 때, 제1 프로세스 재료는 Ga(NO3)3(gallium nitrate) 또는 Ga(CH3)3(trimethylgallium)를 포함할 수 있고, 제2 프로세스 재료는 NH3를 포함할 수 있다.
다시 도 5를 참조하면, 단계 440에서는, 600W를 초과하는 전자파 전력이 기판에서의 환원 반응을 용이하게 하기 위해 프로세스 챔버의 제2 프로세스 재료에 커플링된다. 여기에서 사용되는 바와 같이, "전자파 전력"은 RF 전력, 마이크로파 주파수 전력, 광파(light wave) 전력, 또는 플라즈마 프로세스 챔버에서 플라즈마를 발생시키기에 적합한 임의의 공지 전력을 의미한다. 도 1a, 도 1b 및 도 2a, 도 2b의 실시예에서, 전자파 전력은 상부 어셈블리의 전극들 및 기판 전극 중 하나 이상을 사용해 프로세스 챔버로 커플링될 수 있다. 단계 440에서의 제2 프로세스 재료(즉, 환원제)로의 고전력의 커플링은 환원제를 가열함으로써, 상술된 바와 같이, 기판에 흡착된 제1 선구체와 화학적으로 반응하는 라디칼을 형성하여 환원 프로세스를 가속하고 증착된 필름내의 불순물들을 감소시키기 위해, 환원제의 이온화 및/또는 해리를 발생시킨다. 실시예에서, 전력은 약 600W에서 약 1500W의 범위에 해당된다. 다른 실시예에서, 전력은 약 1000W이지만, 실제의 플라즈마 프로세싱 전력은 증착될 필름의 조성 및 특징들과 같은 팩터들에 따라 달라질 수도 있다. 발명의 실시예에 따른, 향상된 증착 속도들에서 불순물들이 감소된 필름의 ALD 증착을 가능하게 하는, 적합한 고전력 레벨들은 직접적인 실험 및/또는 DOE(design of experiments)에 의해 판정될 수 있다. 기판 온도, 프로세스 압력, 프로세스 가스의 유형, 및 상대적 가스 흐름들(relative gas flows)과 같은 조정 가능한 다른 프로세스 파라미터들도 직접적인 실험 및/또는 DOE에 의해 판정될 수 있다.
단계 440에 의해 완결된 환원 반응은 기판 표면상에 소정 필름의 박층이 증착되게 할 수 있다. 예를 들어, 환원 반응은 기판의 사양상에 배리어층, 시드층, 접착층, 게이트층, 금속층, 산화금속층, 질화금속층, 또는 유전체층의 박층이 증착되게 할 수도 있다. 일단 환원 반응이 발생하고 나면, 도 5의 단계들(420 - 440)은, 도 5의 프로세스 흐름 화살표(450)에 의해 도시된 바와 같이, 소정 두께가 실현될 때까지, 반복되어 기판상에 재료의 추가적인 층들을 증착할 수 있다.
도 5에 도시되지는 않았지만, 실시예에서는, 도 3과 관련하여 논의된 바와 같이, 제1 프로세스 재료 및 제2 프로세스 재료를 도입하기 위한 단계들 사이에 퍼지 가스가 프로세스 챔버로 도입된다. 다시 말해, 퍼지 가스는 제1 프로세스 재료 이후 및 제2 프로세스 재료 이전에 도입될 수 있거나, 퍼지 가스는 제2 프로세스 재료 이후 및 후속 사이클의 제1 프로세스 재료 이전에 도입될 수 있다. 퍼지 가스는 제2 프로세스 재료의 도입 이전의 진공 펌핑에 의해 제1 프로세스 재료가 프로세스 챔버로부터 배출되게 한다. 마찬가지로, 다수의 ALD 사이클들이 실행되는 경우, 환원 반응이 발생한 이후에 퍼지 가스가 도입되어, 제1 프로세스 재료의 도입 이전에 제2 프로세스 재료를 배출할 수 있다. 이러한 퍼지는, 환원 반응이, 증착되기 이전의 프로세스 챔버 분위기가 아니라, 기판상의 제1 프로세스 재료의 흡착층에서 주로 발생한다는 것을 보장한다.
ALD 필름들의 증착에 대한 높은 플라즈마 전력 레벨의 효과 이외에, 본 발명자들은, ALD 필름들의 증착에 대한 비교적 낮은 플라즈마 전력의 잠재적인 효과를 고려하였다. 그렇게 하면서, 본 발명자들은, 낮은 전력의 플라즈마가, 실제 환원 반응이 발생하기 이전에, 프로세스 챔버 및 기판에서의 잔류 오염 물질들의 제거를 제공할 수도 있다고 판단하였다. 구체적으로, 제1 프로세스 재료(필름 선구체)의 도입은 통상적으로, 챔버 벽들과 같은, 기반 뿐만 아니라 챔버 벽들과 같은 프로세스 챔버 구성요소들로 이 재료가 흡착되는 결과를 초래한다. 더 나아가, 선행 환원 반응들의 부산물들이 프로세스 챔버 컴포넌트들에 존재할 수도 있다. 예를 들어, 상술된 바와 같이 탄탈 함유 필름을 증착하는 경우, 제1 프로세스 재료로부터의 잔류 염소가 기판 및 챔버 컴포넌트들에 통상적으로 존재한다.
ALD 프로세스 및 특히, 플라즈마-강화 환원 반응 동안, 챔버 컴포넌트들상의 재료들은 스퍼터링될 수 있고 증착된 필름을 오염시킬 수 있는데, 이로 인해, 불량한 특성들을 가진 필름이 발생될 수 있다. 본 발명자들은, 좀더 높은 플라즈마 전력이 좀더 높은 증착 속도, 감소된 필름 저항률, 및 (염소 함유 선구체를 위한) 필름에서의 감소된 염소 함량을 초래할 수 있지만, 그것은 또한 (수소 플라즈마 환원 단계에서의 좀더 작은 수소 이온들에 비해) 이온화된 염소와 같은, 이온화된 거대 오염 물질들에 의한 프로세스 챔버 컴포넌트들의 스퍼터링으로부터 발생하는 필름에서의 다른 오염 물질들의 등장을 초래할 수도 있다. 예를 들어, TaCl5가 수소 플라즈마를 사용해 기판 표면에서 환원될 때, HCl이 표면으로부터 생성되는데, 이는, 플라즈마의 존재시에, 해리되어 이온화된 염소 등을 형성하고, 이온화된 염소는 프로세스 챔버 컴포넌트들을 스퍼터링할 수 있는 거대 이온이다. 플라즈마 전력이 증가됨에 따라, 프로세스 챔버 컴포넌트들에 인접한 외장 전압(sheath voltage)이, 프로세스 챔버 컴포넌트의 재료 조성을 위한 스퍼터링 임계치를 초과할 수 있다. 예를 들어, 도 1a, 도 1b, 도 2a, 및 도 2b에서 묘사된 상부 어셈블리(30, 130)의 전극은, 염소 함유 재료들을 사용할 때, (약 143 V의 스퍼터링 임계 전압을 가진) 니켈과 같은, 내식(corrosion resistant) 재료로부터 가공될 수 있다. 이와 같이, 저전력 플라즈마는 기판 및 프로세스 챔버 벽으로부터 오염 물질들을 효과적으로 방출할 수 있고, 그에 따라, 오염 물질들은, 기판 표면에서의 좀더 높은 속도의 환원 반응을 용이하게 하면서, 챔버 컴포넌트들을 스퍼터링할 수 있는 비교적 높은 전력의 인가 이전에 진공 펌핑에 의해 챔버로부터 배출될 수 있다.
저전력 플라즈마 및 고전력 플라즈마를 사용하는 것의 이점들에 대한 전술한 인식에 기초해, 본 발명자들은, ALD 프로세스 동안 플라즈마 전력 레벨을 변경하는 것이, ALD 필름의 증착 속도의 향상 뿐만 아니라 ALD 필름의 오염의 감소라는 이중 이점을 제공할 수 있다는 것을 발견하였다. 도 6은 본 발명의 실시예에 따른 ALD 프로세스의 프로세스 흐름도를 나타낸다. 도 6의 프로세스는 도 1a, 도 1b 또는 도 2a, 도 2b의 프로세싱 시스템 또는 임의의 다른 적당한 프로세싱 시스템에 의해 수행될 수도 있다. 도 6에서 알 수 있는 바와 같이, 프로세스는, 반도체 기판과 같은, 기판이 단계 510에서 프로세스 챔버로 삽입될 때 시작된다. 단계 520에서는, 기판 표면으로의 흡착을 위해 프로세스 챔버로 제1 프로세스 재료가 제공된다. 단계 530에서는, 앞서 논의된 바와 같이, 기판 표면상에 소정 필름을 형성하기 위해, 제2 프로세스 재료가 프로세스 챔버에 제공되어, 증착된 제1 프로세스 재료와의 환원 반응을 제공한다. 당업자라면 이해할 수 있는 바와 같이, 제1 및 제2 프로세스 재료들은 기판상에 증착될 소정 필름에 따라 선택된다. 예를 들어, 여기에서 설명된 제1 및 제2 프로세스 재료들의 조합들 중 어떤 것이라도 도 6의 프로세스에 적용될 수 있다.
도 6의 단계 540에서는, 프로세스 챔버의 오염 물질들을 감소시키기 위한 플라즈마를 발생시키기 위해 제1 레벨의 전자파 전력이 프로세스 챔버에 커플링된다. 제1 레벨의 플라즈마 전력은 플라즈마를 발생시키기 위한 임계 레벨만큼 낮을 수 있으며, 기판상에 증착된 임의 필름들을 포함하는 기판을 파괴하거나 손상시키는 것으로 판정되는 레벨보다는 높지 않은 것이 바람직스럽다. 당업자라면 이해할 수 있는 바와 같이, 제1 전력 레벨은 ALD 프로세스 동안 제1 레벨의 전력이 인가되는 시점 뿐만 아니라 증착되는 재료에도 의존할 것이다. 전력의 제1 레벨은 제1 프로세스 재료를 제공하는 동안, 제2 프로세스 재료를 제공하는 동안, 및/또는 퍼지 가스를 제공하는 동안 프로세스 챔버에 커플링될 수 있다. 앞서 논의된 바와 같이, 전력의 제1 레벨은, 프로세스 챔버 컴포넌트들을 위한 스퍼터링 임계치를 초과하지 않으면서, 프로세스 챔버 및/또는 기판으로부터 오염 물질들을 방출할 수 있다. 따라서, 실시예에서는, 제2 프로세스 재료가 프로세스 챔버로 도입되는 동안, 전력의 제1 레벨이 프로세스 챔버에 인가된다. 다른 방법으로, 다른 실시예에서는, 퍼지 가스 단계 동안, 전력의 제1 레벨이 프로세스 챔버에 인가되어 퍼지 플라즈마(cleaning plasma)를 발생시키는데, 이 경우, 방출된 오염 물질들은 프로세스 챔버로부터 효과적으로 진공 펌핑될 수 있다. 도 6에 도시되지는 않았지만, 실시예에서, 퍼지 가스는, 도 3과 관련하여 논의된 바와 같이, 제1 및 제2 프로세스 재료들을 도입하기 위한 단계들 사이에 그리고/또는 환원 반응 이후에 프로세스 챔버로 도입된다. 이러한 관점에서, 플라즈마 전력의 제1 및/또는 제2 레벨들이 퍼지 가스의 도입 동안 인가될 수 있다.
단계 550에서는, 제1 레벨보다 높은 전력의 제2 레벨이 프로세스 챔버에 커플링되어 기판 표면에서의 환원 반응을 용이하게 하기 위한 플라즈마를 발생시킨다. 이와 같이, 전력의 제2 레벨은 제2 프로세스 재료의 도입 동안 프로세스 챔버에 커플링되어야 하지만, ALD 프로세스 동안의 다른 시점들에서 커플링될 수도 있다. 제1 전력 레벨의 경우와 마찬가지로, 전력의 제2 레벨은 ALD 프로세스의 시점 뿐만 아니라 제1 및 제2 프로세스 재료들에도 크게 의존한다. 실시예에서, 전력의 제2 레벨은, 상술된 바와 같이, 환원 반응을 가속하고 불순물들을 감소시키기 위한 600W 이상이다. 그러나, 도 6의 실시예들에서는, 전력의 제2 레벨이 환원 반응을 용이하게 하기 위한 플라즈마를 발생시키는 것으로 충분하다. 일단 환원 반응이 발생하고 나면, 도 6의 단계들(520 내지 550)은, 도 6의 프로세스 흐름 화살표(560)에 의해 도시된 바와 같이, 반복되어, 소정 두께가 실현될 때까지 기판에 재료의 추가적인 층들을 증착할 수 있다.
도 7a 및 도 7b는 본 발명의 실시예들에 따른 퍼지 및 환원 반응 플라즈마들을 발생시키기 위해 프로세서 챔버에 커플링된 전력의 전력 레벨 변경을 묘사하는 전력 그래프들을 나타낸다. 도 7a의 전력 곡선(610)에 의해 도시된 바와 같이, 플라즈마 전력은 (2개가 도시된) 복수개의 이산 레벨들로 프로세스 챔버에 인가될 수도 있다. 구체적으로, 제1 전력 레벨(620)이 기판 및 프로세스 챔버 컴포넌트들로부터 오염 물질들을 제거하기 위해 인가될 수 있고, 그에 따라, 그러한 오염 물질들이, 상술된 바와 같이, 프로세스 챔버로부터 배출될 수 있다. 이 또한 앞서 기술된 바와 같이, 제1 전력 레벨은 플라즈마 발생을 위한 임계 레벨만큼 낮거나 600W 만큼 높을 수 있고, 제2 전력 레벨(630)은 환원 프로세스를 가속하고 오염 물질들을 감소시키기 위해 600W 이상인 것이 바람직스럽고 약 1000W 이상인 것이 좀더 바람직스럽다. 일례에서, 제1 전력 레벨은, 앞서 논의된 바와 같이, 챔버내의 챔버 컴포넌트들을 위한 스퍼터링 임계치보다 높지 않다. 도 7b에서 알 수 있는 바와 같이, 플라즈마 전력 레벨은 전력 곡선(650)에 의해 표현되는 연속적인 변경 방식으로 프로세스 챔버에 인가될 수도 있다.
당업자라면 이해할 수 있는 바와 같이, 도 7a 및 도 7b의 전력 곡선들은 예시적이고, 가변 전력은 ALD 프로세스에 의해 증착될 필름의 조성 및 특징에 의존할 수도 있다. 예를 들어, 도 7a의 플라즈마 전력은 2를 초과하는 이산 전력 레벨들을 포함할 수 있고, 도 7b의 플라즈마 전력은 비선형적인 방식으로 변화할 수도 있다. 더 나아가, 단계형(stepped) 및 경사형(ramped) 전력의 조합이 사용되어 도 6의 단계들(540 및 550)의 제1 및 제2 전력 레벨들을 제공할 수도 있다. 더 나아가, 본 발명의 실시예에 따른, 향상된 증착 속도들에서 불순물들이 감소된 상태로 필름의 ALD 증착을 가능하게 하는 적당한 고전력 레벨들은 직접적인 실험 및/또는 DOE(design of experiments)에 의해 판정될 수 있다. 기판 온도, 프로세스 압력, 프로세스 가스의 유형, 및 상대적 가스 흐름들과 같은, 조정 가능한 다른 프로세스 파라미터들도 직접적인 실험 및/또는 DOE에 의해 판정될 수 있다.
앞서 지적된 바와 같이, 도 7a 및 도 7b의 곡선들(610 및 650)에서 도시된 것과 같은 가변 플라즈마 전력이 제2 프로세스 재료만의 도입 동안 또는, 제2 프로세스 재료에 좀더 높은 전력이 인가되기만 한다면, 전체 ALD 사이클 전체에 걸쳐 프로세스 챔버에 인가되어 환원 반응을 용이하게 할 수 있다. 예를 들어, 가변 전력이 제2 프로세스 재료의 도입 동안에만 인가되는 경우, 초기의 저전력 레벨들은, 기판 표면에서의 환원 반응을 실질적으로 용이하게 하기에 충분한 플라즈마 밀도를 제공하지 않으면서, 기판 및 프로세스 챔버 벽들로부터 불순물들을 방출할 수 있다. 전력이 도 7a에서 도시된 바와 같이 단계적으로 증가하거나 도 7b에서 도시된 바와 같이 연속적으로 변화함에 따라, 플라즈마 라디칼들은 초기 저전력에 의해 좀더 깨끗해진 환경에서 환원 반응을 용이하게 한다.
다른 실시예에서, 가변 전력은 ALD 사이클의 다른 단계들 동안 발생하여 이중 기능들을 수행할 수 있다. 예를 들어, 제1 전력 레벨은 기판 표면으로의 제1 재료 흡착을 돕기 위해 제1 프로세스 재료의 도입 동안 인가될 수 있는 한편, 프로세스 챔버로부터 오염 물질들을 배출하는 기능도 한다. 제2 전력 레벨 또한 오염 물질들을 감소시키기 위해 제2 프로세스 재료의 도입 및/또는 퍼지 가스 단계 동안 인가될 수 있다. 궁극적으로, 플라즈마 전력 레벨은, 앞서 논의된 바와 같이, 환원 프로세스를 가속하고 증착층에서의 오염을 감소시키기 위해, 제2 프로세스 재료의 도입 동안 600W 이상으로 증가된다.
앞서 논의된 바와 같이, 본 발명의 일 실시예에서는, ALD 프로세스 동안, 불활성 퍼지 가스가 프로세스 챔버로 도입될 수 있다. 구체적으로, 도 3에 도시된 바와 같이, 퍼지 가스는 제1 및 제2 프로세스 재료들의 도입 사이에 그리고, 추가적으로 ALD 사이클의 끝에서의 제2 프로세스 재료의 도입 이후에 프로세스 챔버로 도입될 수도 있다. 이러한 불활성 퍼지 가스는 제1 및 제2 프로세스 재료들을 분리하여 기판 표면으로의 증착 이전에 챔버 환경에서의 화학 반응들을 감소시키는 기능을 수행하고, 부가적으로, 프로세스 챔버 벽들 및/또는 기판 표면으로부터 제거된 오염 물질들의 배출을 지원하는 기능을 수행한다. 본 발명의 다른 실시예에서는, 오염 물질들의 제거를 추가적으로 지원하도록 반응성 가스 퍼지가 수행될 수 있다.
도 8은 본 발명의 실시예에 따른 ALD 프로세스의 프로세스 흐름도를 나타낸다. 도 8의 프로세스는 도 1a, 도 1b 또는 도 2a, 도 2b의 프로세싱 시스템 또는 임의의 적당한 다른 프로세싱 시스템에 의해 수행될 수도 있다. 도 8에서 알 수 있는 바와 같이, 프로세스는 단계 710에서 기판이 프로세스 챔버로 삽입될 때 시작된다. 단계 720에서는, 앞서 논의된 바와 같이, 제1 프로세스 재료가 기판 표면으로의 흡착을 위해 프로세스 챔버로 제공된다. 단계 730에서는, 기판 표면상에 소정 필름을 형성하기 위해, 제2 프로세스 재료가 프로세스 챔버에 제공되어, 증착된 제1 프로세스 재료와의 환원 반응을 제공한다. 다른 실시예들에 의해 논의된 바와 같이, 제1 및 제2 프로세스 재료들은 기판에 증착될 소정 필름에 따라 선택되고, 여기에서 설명된 제1 및 제2 프로세스 재료들의 조합들 중 어떤 것이라도 도 8의 프로세스에 적용될 수 있다.
단계 740에서는, 제2 프로세스 재료의 도입 동안 전자파 전력을 프로세스 챔버에 커플링하는 것에 의해, 프로세스 챔버에서 플라즈마가 발생된다. 단계 740에서 챔버에 커플링되는 전력 레벨은, 앞서 설명된 바와 같이, 환원 반응을 가속하고 오염 물질들을 감소시키기 위해, 600W 이상인 것이 바람직스럽고, 예를 들어, 약 1000W일 수도 있다. 더 나아가, 상기 도 6 및 도 7에서 설명된 바와 같이, 오염 물질들의 추가적인 감소를 제공하기 위해, 가변 전력이 프로세스 챔버에 커플링될 수도 있다. 그러나, 도 8의 실시예에서는, 단계 740에서, 기판의 환원 반응을 지원하기 위해, 플라즈마를 발생시키는데 필요한 전력이 제공된다는 것으로 충분하다.
단계 750에서는, 반응성 퍼지 가스가 프로세스 챔버로 도입된다. 도 3과 관련하여 논의된 불활성 퍼지 가스 단계들과 달리, 반응성 퍼지 가스는 프로세스 챔버 벽들 및/또는 기판 표면상의 오염 물질들과 화학적으로 반응하여 프로세스 챔버로부터 그러한 불순물들을 제거하는 것을 지원한다. 당업자라면 알 수 있는 바와 같이, 반응성 가스의 조성은 ALD 프로세스에, 특히, 프로세스 챔버로부터 제거될 오염 물질들에 크게 의존한다. 다시 말해, 단계 750에서, 반응성 가스는 프로세스 챔버로부터 제거될 오염 물질들과 반응하도록 선택된다. 다시, 오염화탄탈을 제1 프로세스 재료로서 그리고 수소를 (환원 반응을 위한) 제2 프로세스 재료로서 사용해, 탄탈 필름을 증착하는 일례로 돌아가면, 염소 오염 물질들이 프로세싱 벽들에 그리고 증착된 필름 자체내에 잔류할 수도 있다. 이들 염소 오염 물질들을 제거하기 위해, 염소 오염 물질들과 화학적으로 반응하여 그것들을 벽들 및/또는 기판으로부터 방출하는 암모니아(NH3)가 도입될 수 있고, 그에 따라, 오염 물질들은 진공 펌핑에 의해 챔버로부터 배출될 수 있다. 일단 퍼지 단계(750)가 완결되고 나면, 프로세스 단계들(720 내지 750)은, 화살표(760)에 의해 도시된 바와 같이, 소정 두께를 획득하기 위해 반복될 수 있다.
다른 실시예에서, 프로세스 챔버 벽들은 오염 물질들을 제거하기 위한 화학 반응을 용이하게 하기 위해 가열될 수도 있다. 예를 들어, 상술된 바와 같이, 염소 오염 물질들을 환원시킬 때, 챔버 벽들은 80℃ 이상으로 가열된다. 일부 경우들에서는, 화학적 퍼지 반응을 용이하게 하기 위해 플라즈마도 발생될 수 있다. 그러나, 그러한 플라즈마가 기판 표면에서 바람직스럽지 못한 반응을 발생시켜서는 안된다. 일단 퍼지 단계(750)가 완결되고 나면, 프로세스 단계들(720 내지 750)은, 프로세스 화살표(760)에 의해 도시된 바와 같이, 소정 필름 두께를 획득하기 위해 반복될 수 있다. 도 8은, 단계 740에서 환원 반응이 발생한 이후의 반응성 가스 퍼지 단계(750)를 열거하지만, 반응성 가스 퍼지는, 도 3에 도시된 바와 같이, 제1 및 제2 프로세스 재료들의 도입 사이에서 수행될 수도 있다. 그러나, 도 3에 도시된 불활성 가스 퍼지단계들과 달리, 반응성 가스는 프로세스 챔버의 벽들 및/또는 기판상의 오염 물질들과 화학적으로 반응하여 프로세스 챔버로부터 오염 물질들의 제거를 지원한다. 추가 단계의 삽입으로 인해, 반응성 프로세스 가스 및 오염 물질들을 배출하는 동작은, 도 8에 도시된 바와 같이, 매 ALD 사이클마다 하나의 반응성 퍼지 단계만을 포함할 수도 있다. 다른 방법으로, 반응성 퍼지 가스 단계는, 2개의 사이클들마다 또는 3개의 사이클들마다 한번 동안과 같이, 간헐적으로만 수행될 수도 있다. 이러한 관점에서, 반응성 가스 퍼지 단계는, 도 3에서 설명된 불활성 퍼지 단계들과 협력하여 수행될 수도 있다.
본 발명의 다른 실시예에서는, 기판 구역으로부터 프로세스 챔버의 주변 구역으로 오염 물질들을 흡인하는 것에 의해, ALD 프로세스에 영향을 미치는 오염 물질들이 감소될 수 있다. 구체적으로, 기판 구역내에서의 플라즈마 발생은, 기판에 증착된 필름에 대해 유해한 영향을 미칠 수 있는 오염 물질들을 이온화한다. 예를 들어, 앞서 논의된 바와 같이, 탄탈 함유 재료를 증착할 때, 프로세스 챔버의 염소 오염 물질들은 플라즈마 전력의 인가에 의해 이온화된다. 이와 같이, 본 발명자들은, 프로세스 챔버의 주변 구역에서 별도 플라즈마를 발생시키는 것이, 이온화된 오염 물질들을 제거하는 전기적으로 하전된 재료의 기판 영역으로부터 프로세스 챔버의 주변 영역으로의 수송을 유도하는 전위차(potential difference)를 생성할 수 있다는 것을 발견하였다. 그 다음, 흡인된 오염 물질들은 프로세스 챔버 벽들에 부착되거나 진공 펌핑에 의해 프로세스 챔버로부터 배출됨으로써, 증착된 필름에 대한 오염 물질들의 영향들을 감소시킨다.
상술된 바와 같이, 오염화탄탈을 필름 선구체(제1 프로세스 재료)로서 그리고 수소를 환원제(제2 프로세스 재료)로서 사용해 탄탈 필름을 증착할 때, HCl이 표면 환원 반응의 산물로서 필름으로부터 생성된다. HCl은 플라즈마의 존재하에 해리되어, 염소 이온들(Cl-)이 형성될 수 있다. 음전하(electronegative;Cl) 플라즈마에서, 플라즈마 전력의 셧다운에 뒤이어 (통상적으로 염소를 위한) 음전하 플라즈마의 감쇠는, 전자들의 높은 이동도로 인해 전자들이 재빨리 감쇠하기 때문이다. 약한 음전하 플라즈마에서, 음이온들은 기판 구역(A;도 9a 참고)내에서 점진적으로 감쇠할 것이고, 기판 구역(A)은, 나머지 양이온들에 의해, 짧은 시주기(수 마이크로초들) 동안 양전하로 유지될 것이다. 좀더 강한 음전하 플라즈마에서, 음이온들은, 가장 근접한 표면들로의 음이온들의 운동의 확산(좀더 높은 압력들에서의 재조합) 특징으로 인해, 전자들에 비해 좀더 긴 시간 규모에 걸쳐 감쇠할 것이다. 기판 구역(A)에서, 가장 근접한 표면들이 기판 표면(도 1a, 도 1b, 도 2a, 또는 도 2b에서의 25 또는 125) 또는 상부 어셈블리(도 1a, 도 1b, 도 2a, 또는 도 2b에서의 30 또는 130)에서의 전극이므로, 이온들은, 이온들이 프로세스 챔버의 측벽들에 도달하는 것보다 좀더 짧은 시간에 이 표면들에 도달한다.
다시 말해, 플라즈마 감쇠 동안, 2개의 스테이지들이 존재하는데: (1) 제1 스테이지에서는, 벽으로의 음이온들의 플럭스(flux)가 부재하고 전자 밀도는 시간에 따라 급격하게 감쇠함으로써, 거의 모든 전자들이, 이온-이온(무전자) 플라즈마가 형성되는 방전 볼륨(discharge volume)으로부터 유한한 시간내에 탈출하고, (2) 제2 스테이지에서는, 이 플라즈마가 이온-이온 양극성(ambipolar) 확산 메커니즘에 의해 감쇠한다. 기판 구역(A)으로부터, 프로세스 챔버의 벽들과 같은, 주변 구역(B;도 9a 참고)(및 궁극적으로는 펌핑 구멍)으로의 이온들의 이송을 제공하기 위해, 서로 인터페이싱하는 2개의 플라즈마 영역들이 본 발명의 실시예에 따라 발생될 수 있다. 제1 플라즈마 영역은 사실상 기판 구역(A)과 일치하고, 제2 플라즈마 영역은 제1 플라즈마 영역을 둘러싸며 사실상 주변 구역(B)과 일치함으로써, 거대한 인터페이스 표면을 생성한다.
예를 들어, 양자의 플라즈마 영역들에는, 중첩하는 타이밍 시퀀스의 방식으로 플라즈마를 발생시키는 것에 의해 전력이 공급될 수 있다. 플라즈마가 기판 구역(A)에 존재할 때, 염소(좀더 일반적으로는, 반응성 산물들)의 물리적 흡착은 기판 구역(A)내에서 발생하지 않는다. 기판 구역(A)에서 플라즈마를 턴오프하기 전에, 주변 구역(B)의 플라즈마가 개시된다. 일단 주변 구역(B)에서 플라즈마가 개시되고 나면, 기판 구역(A)에서의 플라즈마는 소멸되고 기판 구역(A)으로부터의 이온들은 펌핑 제거될 확률이 좀더 높은 주변 구역(B)으로 이송된다. 이 사이클링은, 잔류 오염 물질들을 기판 표면 밖으로 이송하기 위한 메인 프로세스 단계들 사이에, 기판 구역(A) 및 주변 구역(B)에서, 각각, 도 1b의 상부 어셈블리(30)의 전극 및 전극(30A)에 또는 도 2b의 상부 어셈블리(130)의 전극 및 전극(130A)에 반복적으로 적용될 수 있다. 예를 들어, 도 9b 및 도 9c는 2가지의 예시적 타이밍 시퀀스들을 예시한다.
앞서 논의된 바와 같이, 도 1b 및 도 2b는 이온화된 오염 물질들을 프로세스 챔버의 주변 구역(B)으로 흡인하기 위한 플라즈마를 발생시키기 위한 선택적인 주변 플라즈마 전극을 갖춘 증착 시스템들을 나타낸다. 구체적으로, 도 1b는 사실상 기판(25)의 영역에, 즉, 도 9a의 기판 구역(A)에 프로세싱 플라즈마를 발생시키기 위한 제1 전극이 배치되어 있는 상부 어셈블리(30)를 나타낸다. 또한, 주변 전극(3OA)이 상부 어셈블리(30)의 주변에 배치되고, 그것은 도 9b의 주변 구역(B)에서 이차 플라즈마를 발생시키도록 구성된다. 마찬가지로, 도 2b는 사실상 기판의 영역, 즉, 기판 구역(A)에서 제1 플라즈마를 발생시키는 상부 어셈블리(130) 뿐만 아니라 상부 어셈블리(130)의 주변부 주위에 배치되어 주변 구역(B)에서 이차 플라즈마를 발생시키도록 구성된 주변 전극(130A)을 나타낸다. 도 1b 및 도 2b에서 도시된 바와 같이, 주변 전극들(3OA 및 130A)은 기판의 바깥쪽 에지 너머로 오염 물질들을 흡인하기 위해, 각각, 기판(25 및 125)의 주변부 바깥쪽에 배치된다. 더 나아가, 주변 전극들은, 다음에서 부연되는 바와 같이, 진공 펌핑 시스템에 커플링되는 가스 주입 구멍들을 포함할 수도 있다.
도 1a에서의 상부 어셈블리(전극)(30) 또는 도 2a에서의 상부 어셈블리 (전극)(130)와 같은, 기판 구역(A)에서의 제1 플라즈마 영역은 PEALD 프로세스에 의해 이용되는 플라즈마 소스에 의해 형성될 수 있다. 이차 플라즈마 소스는 사실상, 예를 들어, 각각, 도 1b 및 도 2b에서 묘사된 주변 전극(30A 또는 130A)을 사용해 프로세스 챔버의 주변부에 생성된다. 주변 전극(3OA 및 130A)은 상술된 바와 같을 수 있거나, 프로세스 챔버 벽과 꼭 닮은 원통형 전극을 구비할 수 있거나, 프로세스 챔버의 상단, 하단, 또는 양자에 고리형 평면(고리형 planar) 전극을 구비할 수도 있다(단일 또는 2개 전극들이 이용될 수 있다). 예를 들어, 도 10a, 도 10b, 도 10c, 및 도 10d는 주변 전극들(30A 및 130A)을 위한 전극 구성들을 예시한다.
치수적으로, 이차 플라즈마 전극(3OA, 130A)은 대략 프로세스 챔버 치수들과 일치할 수 있고, 기판의 에지와 일치하는 최소 치수들을 가질 수도 있다. 이 전극이 기판 구역(A)으로부터 주변 구역(B)으로의 플라즈마 이송을 지원하기 때문에, 펌핑 속도를 제한하지 않도록 하기 위해, 가스 흐름을 위한 충분한 단면이 제공되어야 한다. 도 10a 내지 도 10d에 전극 기하 구조의 일례들이 도시되어 있다. 도 10a에서 예시된 바와 같이, 주변 전극 어셈블리(1300)는 기판(1325)의 주변 에지를 둘러싸는 제1 전극(1330)을 구비한다. 전극은 그것을 통해 프로세싱 가스들을 통과시키도록 구성된 구멍들(1332)을 구비한다. 도 10b에서는, 구멍들(1342)을 갖춘 제2 전극(1340)을 구비하는 주변 전극 어셈블리(1300')가 도시된다. 도 10c에서는, 구멍들(1352)을 갖춘 제3 전극(1350)을 구비하는 주변 전극 어셈블리(1300")가 도시되고, 도 10d에서는, 제1 전극(1330)과 함께, 제3 전극(1350)을 구비하는 주변 전극 어셈블리(1300''')가 도시된다.
각각의 전극은, 100 kHz에서 100 MHz 주파수 범위의 매칭 네트워크를 통해, RF 전력 발생기와 같은, 외부 전원에 의해 바이어스될 수 있다. (나머지 가스의 전기 극성에 따라, 양 또는 음 극성의) 펄스형 DC(direct current) 신호가 기판 구역(A)으로부터 나머지 종들(residual species)의 준 연속적인 제거(quasi-continuous removal)를 지원하기 위해, 예를 들어, 기판 구역(A)(즉, 각각, 도 1a 및 도 2b의 전극(30 또는 130))에서의 제1 플라즈마 소스의 동작중에, 사용될 수 있다. 전극들은, 반응성 환경에서 비부식적인 적당한 금속으로부터 가공될 수 있다. 예를 들어, RF 인가 동안, 전극들은 화학적 저항성이 높은 적당한 세라믹 재료로써 코팅될 수 있다.
다른 방법으로, 이차 플라즈마 소스는, 예를 들어, "Plasma Processing System with Locally-Efficient Inductive Plasma Coupling"이라는 명칭의 계류중인 미국 특허출원 제10/717,268호(attorney docket no. USP03Z003)에서 설명된 것과 같은, 주변 구역(B)에 전자파 전력을 공급하기 위해 유도성 결합 디바이스들을 포함할 수 있다.
유도적 디바이스들의 다른 일례들로는 도 11a, 도 11b, 도 11c, 및 도 11d에서 묘사된 유도적 디바이스들을 들 수 있다. 도 11a 및 도 11b에서 예시된 바와 같이, 주변 유도 전극 어셈블리(1400)는 기판(1425)의 주변 에지를 둘러싸는 제1 유도 전극(1430)을 구비한다. 전극(1430)은 그것을 통해 프로세싱 가스들을 통과시키도록 구성된 구멍들(1432)을 구비한다. 도 11c 및 도 11d에서는, 구멍들(1442)을 갖춘 제2 전극(1440)이 도시된다. 전력 공급을 위한 통상적인 주파수는 약 0.1 MHz에서 약 100 MHz의 범위일 수 있다.
도 12는 본 발명의 실시예에 따른 ALD 프로세스의 프로세스 흐름도를 나타낸다. 도 12의 프로세스는 도 1b 또는 도 2b의 프로세싱 시스템 또는 임의의 적당한 다른 프로세싱 시스템에 의해 수행될 수도 있다. 도 12에서 알 수 있는 바와 같이, 프로세스는 단계 810에서 기판을 프로세스 챔버에 삽입할 때 시작된다. 단계 820에서는, 기판 표면으로의 흡착을 위해 프로세스 챔버로 제1 프로세스 재료가 제공되고, 단계 830에서는, 기판 표면상에 소정 필름을 형성하기 위해, 제2 프로세스 재료가 제공되어, 증착된 제1 프로세스 재료와의 환원 반응을 제공한다. 여기에서 설명된 선행 실시예들에서와 같이, 제1 및 제2 프로세스 재료들은 기판상에 증착될 소정 필름에 따라 선택된다. 예를 들어, 여기에서 설명된 제1 및 제2 프로세스 재료들의 조합들 중 어떤 것이라도 도 12의 프로세스에 적용될 수 있다.
단계 840에서는, 앞서 논의된 바와 같이, 환원 반응을 용이하게 하기 위해, 전자파 전력이 제2 프로세스 재료의 도입 동안 프로세스 챔버에 커플링된다. 도 12의 실시예에서, 단계 840의 전력은 사실상 기판의 영역, 즉, 기판 구역(A)에서의 전극을 통해 프로세스 챔버에 커플링된다. 도 1b 및 도 2b의 실시예들에서, 전극은 상부 어셈블리 전극 및 기판 홀더 전극 중 하나 이상일 수 있다. 단계 840 동안 프로세스 챔버로 커플링되는 전력은, 앞서 논의된 바와 같이, 환원 반응을 가속하고 오염을 감소시키기 위해, 600W 이상인 것이 바람직스럽고, 예를 들어, 약 1000W일 수 있다. 더 나아가, 앞서 논의된 바와 같이, 오염 물질들의 추가적인 감소를 제공하기 위해, 가변 전력이 프로세스 챔버에 커플링될 수도 있다. 그러나, 단계 840 동안 커플링되는 전력은, 환원 반응을 용이하게 하도록 플라즈마를 유지하기에 충분한 임의 전력일 수 있다.
단계 850에서는, 상술된 바와 같이, 기판의 영역에서 오염 물질들을 이온화하기 위한 플라즈마를 발생시키기 위해 프로세스 챔버에 전력이 커플링된다. 실시예에서는, 오염 물질들을 이온화하는 단계 850이 단계 840에서 환원 반응을 발생시키는 결과로서 수행된다. 다시 말해, 단계 840에서 플라즈마를 발생시키는 프로세스는 자연스럽게 기판 구역의 오염 물질들을 이온화할 수 있고, 그것에 의해, 단계 850을 동시적으로 수행할 수 있다. 그러나, 다른 실시예들에서는, 오염 물질들을 이온화하기 위한 프로세스 단계가 환원 반응 단계와 독립적으로 수행될 수도 있다. 예를 들어, 플라즈마 전력, 챔버 환경, 및 챔버 압력과 같은, 프로세스 조건은 오염 물질들의 이상적인 이온화를 제공하기 위해 환원 플라즈마 단계로부터 조정될 수 있다.
단계 860에서는, 프로세스 챔버의 주변 구역(B)에서 플라즈마를 발생시키기 위해, 각각, 도 1b 및 도 2b의 전극(3OA) 또는 전극(130A)과 같은, 주변 전극에 전력이 커플링된다. 그러나, 주변 플라즈마는, 앞서 논의된 바와 같이, 이온화된 오염 물질들을 기판 구역(A)으로부터 흡인하는 전위차를 발생시키기 위해, 기판 영역(즉, 기판 구역(A)) 플라즈마와는 상이한 특징을 가진다. 예를 들어, 주변 전극에 인가되는 전력의 주파수, 위상, 또는 전력 레벨 중 하나 이상이, 전위차를 제공하는 소정 플라즈마 특징을 실현하기 위해, 상부 어셈블리의 전극(프로세스 전극)에 인가되는 그것과는 상이할 수도 있다. 다른 실시예에서는, 주변 전극으로부터 가스를 주입하여 주변 구역에서의 플라즈마 조성을 변경하는 것에 의해, 주변 플라즈마 특징이 변경될 수 있다.
예를 들어, 플라즈마 전위는 플라즈마 경계와 인터페이싱하는 중요 표면 영역에서의 최고(양) 전위에 따라 확립될 수 있다. PEALD 시스템에서 전기적으로 바이어스되는 표면들은 기판 전극(도 1a 및 도 2a에서의 20/120) 및/또는 상부 전극(도 1a 및 도 2a에서의 30/130)이기 때문에, 주변 전극/디바이스를 위한 최소 치수들은 단일 평면 전극(예를 들어, 도 10a 참고)을 위한 Rmin > (1.4-1.6)Rwafer이거나, 이중 평면 전극(예를 들어, 도 10b 참고)을 위한 Rmin > (1.2-1.4)RWafer이거나, 원통형 전극(예를 들어, 도 10c 참고)을 위한 Rmin > Rwafer 및 dmin > Rwafer/2이다. 이러한 부등식들에서, Rmin은 주변 전극의 안쪽 부분의 반지름이고, Rwafer는 웨이퍼의 반지름이며, dmin은 원통형 전극의 높이이다. 일 실시예에서, 원통형 전극의 높이는, 전극의 면적이 기판의 면적과 대략적으로 등가이도록 선택되지만, 반드시 그래야 하는 것은 아니다. 기판 구역(A)에서 플라즈마를 조작할 때, (주로 기판 구역에 의해 판정되는) 플라즈마 전위(VA)가 기판 구역(A)에 확립될 것이다. 그후, (기판 구역(A)에서의 플라즈마 구간의 일부분과 시간적으로 중첩하여) 주변 구역(B)에서 주변 플라즈마를 개시하는 것은, 기판 구역(A)과 주변 구역(B) 사이의 인터페이스에서의 주변 플라즈마에 의한 전자 손실 보상(electron loss compensation)으로 인해, 기판 구역(A)에서의 플라즈마 전위를 증가시킬 것이다. (기판 구역(A)에서의) 일차 플라즈마를 턴오프하는 것에 의해, 기판 구역(A)에서의 플라즈마 전위는 감소되거나 심지어 (이차 전극 면적에 의해 판정되는) 플라즈마 전위(VB)만을 주변 구역(B)에 남기면서 완전히 감쇠할 것이다. 기판 구역(A) 및 주변 구역(B)에서의 플라즈마들이 볼륨 및 경계 면적 사이즈에서 상이하기 때문에, 플라즈마 전위들 역시 필적 가능하거나 동일한 전력들(comparable or equal powers)에서 상이할 것이다. 실험에 의해, 시스템으로부터 나머지 가스 제거를 최대화하기 위한 주변 구역(B)에서의 적합한 플라즈마 전위를 확립할 수 있다.
주변 플라즈마 발생 단계(860)는, 앞서 논의된 전위차를 생성하기 위해, (앞서 설명된 바와 같이;도 9b 및 도 9c 참고) 적어도 부분적으로 기판 구역 플라즈마 발생 단계(850)와 중첩한다. 따라서, 오염 물질들의 이온화가 환원 플라즈마로부터 발생하는 실시예에서는, 제2 프로세스 재료가 단계 830에서 도입되는 동안, 단계들(840 및 850)을 수행하기 위해 (기판 구역(A)의) 기판 구역 플라즈마 전극에 전력이 인가된다. 단계들(840 및 850)에서 오염 물질(들)의 플라즈마 지원형 환원 반응 및 이온화가 시작되고 나면, (주변 구역(B)에서의) 주변 플라즈마가 단계 860에서 발생되어, 앞서 논의된 바와 같이, 이온화된 오염 물질들을 기판 구역(A)으로부터 흡인한다. 그 다음, 프로세스 및 주변 전극들로의 전력은, 환원 반응이 발생한 이후에, 동시적으로 차단될 수 있거나, 이온화된 잔류 오염 물질들을 흡인하도록 (주변 구역(B)에서의) 주변 플라즈마가 유지되는 동안, 기판 구역(A)에서의 플라즈마가 먼저 턴오프될 수도 있다. 이온화된 오염 물질들이 프로세스 챔버로부터 배출된 후, 프로세싱 단계들(820 내지 860)은, 프로세스 화살표(670)에 의해 도시된 바와 같이, 소정 필름 두께를 획득하기 위해 반복될 수 있다.
앞서 지적된 바와 같이, 기판 구역(A) 및 주변 구역(B)에서의 플라즈마는 환원 반응을 위한 플라즈마 발생 단계(840)와 독립적으로 발생될 수 있다. 또한, 도 12에 도시되지는 않았지만, 선행 실시예들에서와 같이, 퍼지 가스는, 도 3과 관련하여 논의된 바와 같이, 제1 프로세스 재료 및 제2 프로세스 재료를 도입하기 위한 단계들 사이에 그리고 환원 반응 이후에도 프로세스 챔버로 도입될 수 있다. 퍼지 가스 단계들은 불활성 가스 및/또는 반응성 가스를 사용할 수 있다. 따라서, 기판 및 주변 플라즈마들은, 제1 프로세스 재료의 도입 동안, 퍼지 가스의 도입 동안, 또는 기판 구역(A)으로부터 오염 물질들을 흡인하기 위한 ALD 프로세스에서의 추가적인 플라즈마 발생 단계 동안, 단계들(850 및 860)에서 발생될 수 있다. 또한, 단계들(850 및 860)이 각각의 ALD 사이클 동안 수행될 필요는 없으며 간헐적인 사이클들에서 수행될 수 있다는 것도 이해될 수 있어야 한다.
본 발명의 또 다른 실시예에서, ALD 프로세스에 영향을 미치는 오염 물질들은 가스 주입 어셈블리의 가스 주입 구멍들을 통해 챔버를 진공 펌핑하는 것에 의해 프로세스 챔버로부터 제거될 수 있다. 구체적으로, 본 발명자들은, 프로세싱 시스템내에서의 플라즈마 발생 동안, 가스 주입 어셈블리로 커플링되는 전력으로 인해, 복수개 가스 주입 구멍들이, 이온화된 오염 물질들을 포함하는 플라즈마 종들(species)을 흡인하는 "공동 양극들(hollow anodes)"로서 동작하게 된다는 것을 알 수 있었다. 예를 들어, 앞서 논의된 바와 같이, 탄탈 함유 재료를 증착할 때, 프로세스 챔버의 염소 오염 물질들은 이온화되어 가스 주입 어셈블리의 공동 양극들로 흡인될 수 있다. 본 발명자들은, 플라즈마 발생 동안 복수개 구멍들을 진공 펌핑하는 것이 프로세스 챔버내의 오염 물질들을 감소시킴으로써, 증착된 필름에 대한 오염 물질들의 영향들을 감소시킬 수 있다는 것을 발견하였다.
앞서 논의된 바와 같이, 도 1b 및 도 2b는 프로세스 챔버로부터 가스 주입 어셈블리를 통해 이온화된 오염 물질들을 펌핑하기 위한 선택적인 진공 펌프를 갖춘 프로세싱 시스템들을 나타낸다. 구체적으로, 도 1b는, 제1 프로세스 재료 공급 시스템(40), 제2 프로세스 재료 공급 시스템(42), 또는 퍼지 가스 공급 시스템(44) 중 하나 이상을 위한 가스 주입 어셈블리로서도 기능할 수 있는, 상부 어셈블리(30)에 커플링된 진공 펌프(34A)를 나타낸다. 도 1b에 도시되지는 않았지만, 측벽 주입 밸브와 같은, 별도의 가스 주입 시스템도 당업자에게 널리 공지된 방식으로 프로세스 챔버(10)에 포함된다. 프로세스 챔버(10)에서의 플라즈마 발생 동안, 제1 전원(50)으로부터의 전력이 복수개 가스 주입 구멍들을 가진 상부 어셈블리(30)에 인가되는 한편, 진공 펌프(34A)는, 가스 주입 구멍들을 통해 프로세스 챔버로부터, 공동 양극 효과에 의해, 구멍들로 흡인된 이온화된 오염 물질들을 펌핑하는데 사용된다.
도 2b에 도시된 실시예에서, 상부 어셈블리(130)의 가스 주입 어셈블리(180)는 개개 재료들을 위한 구멍들의 복수개 세트들을 포함할 수도 있다. 구체적으로, 가스 주입 어셈블리(180)에서, 제1 프로세스 재료는 제1 프로세스 재료 공급 시스템(140)으로부터 제2 주입 플레이트(184)와 제3 주입 플레이트(186) 사이에 형성된 제1 플레넘(190)을 경유하여 제2 주입 플레이트(184)에서의 쓰루-홀들의 제1 어레이(194) 및 제1 주입 플레이트(182)에서의 구멍들의 제1 어레이(195)를 통해 프로세스 챔버(110)로 커플링된다. 제2 프로세스 재료, 퍼지 가스, 또는 양자는 제2 프로세스 재료 공급 시스템(142) 또는 퍼지 가스 공급 시스템(144)으로부터 제2 주입 플레이트(184)에 형성된 제2 플레넘(192)을 경유하여 제1 주입 플레이트(182)에서의 구멍들의 제2 어레이(197)를 통해 프로세스 챔버(110)로 커플링된다. 도 2b에서도 알 수 있는 바와 같이, 제1 재료 라인(141) 및 제2 재료 라인(143)은 진공 펌프(134A)에 커플링됨으로써, 구멍들의 제1 어레이, 구멍들의 제2 어레이, 또는 양자를 통한 프로세스 챔버(110)의 진공 펌핑을 허용한다. 프로세스 챔버(110)가 측벽 주입 밸브를 포함할 수도 있다. 프로세스 챔버(110)에서의 플라즈마 발생 동안, 진공 펌프(134A)는 구멍들로 흡인된 이온화된 오염 물질들을 펌핑하는데 사용된다.
도 13은 본 발명의 실시예에 따른 ALD 프로세스의 프로세스 흐름도를 나타낸다. 도 13의 프로세스는 도 1b 또는 도 2b의 프로세싱 시스템 또는 임의의 적당한 다른 프로세싱 시스템에 의해 수행될 수도 있다. 도 13에서 알 수 있는 바와 같이, 프로세스는 단계 910에서 기판을 프로세스 챔버에 삽입할 때 시작된다. 단계 920에서는, 기판 표면으로의 흡착을 위해 제1 프로세스 재료가 프로세스 챔버에 제공되고, 단계 930에서는, 제2 프로세스 재료가 프로세스 챔버에 제공되어 환원 반응을 제공한다. 제1 및/또는 제2 프로세스 재료들은, 다음에서 부연되는 바와 같이, 플라즈마를 발생시키고 프로세스 챔버를 펌핑하는데도 사용되는 가스 주입 전극의 복수개 구멍들을 통해 프로세스 챔버로 도입될 수도 있다. 더 나아가, 여기에서 설명된 선행 실시예들에서와 같이, 제1 및 제2 프로세스 재료들은 기판상에 증착된 소정 필름에 따라 선택되고, 여기에서 설명된 제1 및 제2 프로세스 재료들의 조합들 중 어떤 것이라도 도 13의 프로세스에 적용될 수 있다.
단계 940에서는, 환원 반응을 용이하게 하기 위해, 전자파 전력이 제2 프로세스 재료의 도입 동안 프로세스 챔버에 커플링된다. 도 13의 실시예에서는, 도 1b 및 도 2b에서 설명된 바와 같이, 환원 반응 플라즈마를 발생시키기 위한 전력이 복수개 가스 주입 구멍들을 갖춘 가스 주입 시스템을 통해 프로세스 챔버에 커플링된다. 단계 940 동안 프로세스 챔버로 커플링되는 전력은, 앞서 논의된 바와 같이, 환원 반응을 가속하고 오염을 감소시키기 위해, 600W 이상인 것이 바람직스럽고, 예를 들어, 약 1000W일 수 있다. 그러나, 단계 940 동안 커플링되는 전력은, 플라즈마가 환원 반응을 지원하게 하기에 충분한 임의 전력일 수도 있다.
단계 950에서는, 전력이 가스 주입 전극에 인가되어 프로세스 챔버의 오염 물질들을 이온화하기 위한 플라즈마를 발생시킨다. 가스 주입 전극에 인가되는 전력은 전극을 바이어스하고, 그에 따라, 가스 주입 구멍들은, 앞서 논의된 바와 같이, 이온화된 오염 물질들을 흡인하기 위한 단계 950에서의 공동 양극들로서도 동작한다. 실시예에서, 오염 물질들을 이온화하는 단계 950은 단계 940에서 환원 반응 플라즈마를 발생시키는 결과로서 수행된다. 다시 말해, 단계 940에서 가스 주입 전극에 전력을 인가하여 환원 반응 플라즈마를 발생시키는 프로세스는 자연스럽게 기판 구역의 오염 물질들을 이온화하고 공동 양극들을 생성할 수 있고, 그것에 의해, 단계 950을 동시적으로 수행할 수 있다. 그러나, 다른 실시예들에서는, 오염 물질들을 이온화하고 흡인하기 위한 프로세스 단계가 환원 반응 단계와 독립적으로 수행될 수도 있다.
단계 960에서는, 이온화된 오염 물질들이 가스 주입 전극의 복수개 구멍들을 통해 프로세스 챔버로부터 진공 펌핑된다. 진공 펌핑 단계(960)는, 앞서 논의된 바와 같이, 이온화된 오염 물질들을 배출하기 위해, 플라즈마 발생 단계(950)와 적어도 부분적으로 중첩한다. 따라서, 오염 물질들의 이온화가 환원 반응 플라즈마로부터 발생하는 실시예에서, 도 13의 단계들(930, 940, 950 및 960)은 동시적으로 발생한다. 그러나, 오염 물질들을 이온화하고 배출하는 단계들(950 및 960)은 환원 반응과 독립적으로 수행될 수도 있다. 이온화된 오염 물질들이 프로세스 챔버로부터 배출된 후, 프로세싱 단계들(920-960)은, 프로세스 화살표(970)에 의해 도시된 바와 같이, 소정 필름 두께를 획득하기 위해 반복될 수 있다.
도 13에 도시되지는 않았지만, 이 도면의 실시예는, 도 3에서 설명된 바와 같이, 하나 이상의 퍼지 가스 단계들을 포함할 수도 있다. 더 나아가, 앞서 지적된 바와 같이, 플라즈마 및 펌핑 단계들은, 프로세스 챔버를 퍼지하기 위해, ALD 프로세스 동안의 임의 시점에서 수행될 수 있다. 따라서, 오염 물질들을 이온화하고 흡인하기 위한 플라즈마는 제1 프로세스 재료의 도입, 제2 프로세스 재료의 도입, 퍼지 가스의 도입, 또는 프로세스 챔버의 오염 물질들을 이온화하기에 적합한 다른 소정 재료의 도입 중 하나 이상 동안 발생될 수 있다. 가스 주입 전극의 가스 주입 구멍들은 바람직스럽게도 이러한 도입 단계들 중 하나 이상을 수행하는데 사용되지만, 이온화 플라즈마 동안의 진공 펌핑에 사용되는 구멍들이, 플라즈마가 발생되는 프로세스 재료의 도입에도 사용될 수는 없다.
예를 들어, 일 실시예에서, 제1 프로세스 재료는 단계 920에서 가스 주입 전극의 가스 주입 구멍들에 의해 도입될 수도 있다. 그 다음, 단계 930에서, 제2 프로세스 재료는 별도 가스 주입 경로를 사용해 도입되는 한편, 환원 반응 플라즈마를 발생시키기 위한 전력은 단계 940에서 가스 주입 전극에 인가된다. 다른 가스 주입 경로로는, 예를 들어, 도 1b에서 논의된 측벽 가스 주입 밸브 또는 도 2b에서 논의된 가스 주입 구멍들의 제2 세트를 들 수 있다. 단계 940에서 발생된 환원 반응 플라즈마는, 단계 950에서, 프로세스 챔버의 오염 물질들을 이온화하고 그러한 오염 물질들을 가스 주입 구멍들로 흡인하는 역할도 한다. 단계들(930, 940, 및 950)의 플라즈마 지원 환원 반응(plasma assisted reduction reaction) 동안, 제1 프로세스 재료를 도입하는데 사용된 가스 주입 구멍들은, 공동 양극 효과로 인해 구멍들로 흡인된 이온화된 오염 물질들을 제거하기 위해, 진공 펌핑된다.
앞서 논의된 바와 같이, 다양한 기술들이 PEALD 프로세싱 시스템내에서 이용되어, 염소와 같은 오염 물질들을 프로세싱 시스템 및/또는 PEALD 시스템에서 프로세싱된 기판으로부터 제거할 수 있다. 그러나, 본 발명자들은, 이러한 노력들에도 불구하고, 기판을 PEALD 프로세스 챔버로부터, 직접 접속 금속 배선 공정(interconnect metallization)과 같은, 추가 프로세싱을 위해 별도 프로세스 챔버로 전달하는 동안 ALD 필름에 오염 물질들이 증착될 수 있다는 것을 알 수 있었다. 발명자들은, 약 6nm 두께의 TaN(tantalum nitride)층, 그 위에 약 6nm 두께의 탄탈층, 그 위에 약 10nm 두께의 구리층으로 이루어진 필름 구조들의, 400℃ 어닐링 후의, 시트 저항은, 탄탈층이, 오염화탄탈을 필름 선구체로서 이용하는 PEALD 프로세스로써 준비될 때 크게 증가한다는 것을 관찰하였다. 이 필름 구조에서, Cu 및 TaN(tantalum nitride) 필름들은 이온화된 PVD(i-PVD)를 사용해 준비된다. 예를 들어, 탄탈 필름이 i-PVD를 사용해 준비될 때, 시트 저항은 약 8.04 Ω/스퀘어이고, 탄탈 필름이 (상술된) PEALD를 사용해 준비될 때, 시트 저항은 약 145 내지 185 Ω/스퀘어이며, 금속(구리) 덩어리가 관찰된다.
본 발명자들은, 상술된 전달 오염 문제점들이 비-플라즈마(non-plasma) ALD, CVD(chemical vapor deposition), PECVD(plasma enhanced chemical vapor deposition), 또는 임의의 다른 증착 프로세스에 의해 증착된 필름들에 대해 발생할 수 있다는 것도 알 수 있었다. 다시 말해, 증착 챔버 자체내의 오염을 감소시키기 위한 노력들에도 불구하고, 오염 물질들이, 기판을 증착 프로세스 챔버로부터, 직접 접속 금속 배선 공정과 같은, 추가 프로세싱을 위한 별도 프로세스 챔버로 전달되는 동안, 증착된 필름에 영향을 미칠 수 있다.
따라서, PEALD 또는 다른 증착 챔버에서의 퍼지 노력들에도 불구하고, 금속 배선은, 최종 디바이스에서의 동작 및 신뢰도 문제점들을 초래하면서, 오염된 ALD 또는 다른 증착 필름상에 증착될 수도 있다. 이러한 인식에 기초해, 본 발명자들은, 기판이 증착 시스템으로부터 제거된 후 기판의 플라즈마 퍼지를 수행하는 것에 의해, 오염 물질들이 기판에서 추가적으로 감소될 수 있다는 것을 발견하였다.
도 14는 본 발명의 실시예에 따른 기판 프로세스의 프로세스 흐름도를 나타낸다. 프로세스는, 단계 1010에서, 기판이 필름의 증착을 위한 증착 챔버에서 증착될 때 시작된다. 예를 들어, 기판은 여기에서 설명된 도 1a 또는 도 2a의 시스템에서 증착될 수도 있다. 단계 1020에서는, 증착 프로세스 챔버에서 증착 프로세스가 수행된다. 일 실시예에서, 단계 1020은 배리어층, 시드층, 접착층, 게이트층, 금속층, 금속 옥사이드층, 금속 나이트라이드층, 또는 유전체층 중 하나 이상을 기판상에 증착하도록 수행된다. 더 나아가, 증착 프로세스가 PEALD 프로세스인 경우, ALD 프로세스를 가속하거나 오염을 감소시키기 위해 여기에서 설명된 PEALD 프로세스들 중 하나 이상이 도 14의 단계 1020의 일부로서 수행될 수도 있다.
증착 프로세스를 완결한 후, 그 위에 ALD 필름이 증착된 기판은, 단계 1030에 의해 도시된 바와 같이, 플라즈마 퍼지가 수행되는 처리 챔버(treatment chamber)로 전달된다. 플라즈마 퍼지는, 발명에 따라 증착된 층의 사실상 손상없는 퍼지를 가능하게 하는, (약 1.5 eV 미만의) 낮은 전자 온도 및 (〉1x1012/cm3) 높은 플라즈마 밀도가 특징인 플라즈마에 의해 수행되는 것이 바람직스럽다. 그러한 프로세스 파라미터들은, 증착된 필름을 사실상 손상시키지 않으면서, 기판 표면(즉, ALD 필름 표면과 같은 증착 필름)의 오염 물질들을 효과적으로 감소시키는 "소프트 플라즈마"를 생성한다. 단계 1040에서는, 기판에 대해 추가 프로세싱이 수행된다. 예를 들어, 단계 1040은 증착 필름의 직접 접속 금속 배선의 증착을 포함할 수도 있다.
발명의 일 실시예에서, 플라즈마 퍼지 단계(1030)는 지정된 처리 챔버에서 수행된 다음, 프로세싱 단계(1040)를 수행하기 위한 추가 프로세스 챔버로 전달된다. 예를 들어, 처리 챔버는 후술될 SPA(slotted plane antenna) 플라즈마 소스를 포함한다.
다른 실시예에서, 플라즈마 퍼지 단계(1030)는 프로세싱 단계(1040)와 동일한 챔버에서 수행된다. 예를 들어, 프로세싱 단계(1040)가 i-PVD(ionized physical vapor deposition) 챔버에서 수행되는 금속 배선 단계인 경우, 금속을 증착하기 전에, 플라즈마 퍼지 단계(1030)가 i-PVD 챔버에서 수행될 수도 있다. 구체적으로, i-PVD 프로세스는 고리형 마그네트론 스퍼터링 타겟(annular magnetron sputtering target)으로부터 도전성 금속 코팅 재료를 스퍼터링하기 위한 장치에 의해 제공될 수 있다. 스퍼터링은 타겟에 DC 전력을 인가하는 것에 의해 실현될 수 있고, 스퍼터링된 재료는, 타겟과 기판 사이의 프로세싱 공간에서 고밀도 플라즈마를 발생시키는 것에 의해 이온화된다. 그 다음, 이온화된 스퍼터 재료는 기판에 바이어스를 인가하는 것에 의해 기판 표면으로 흡인된다. 플라즈마 퍼지 단계가 i-PVD 챔버에서 수행되는 경우, 그 위에 필름이 증착되어 있는 기판은 먼저, i-PVD 챔버에서 아르곤과 같은 불활성 가스에 노출된다. 앞서 설명된 바와 같이, 불활성 가스를 가열하기 위한 전력이 i-PVD 챔버에 커플링되어, 기판 표면의 오염 물질들을 감소시키기 위한 플라즈마를 발생시킨다. 기판의 플라즈마 퍼지 처리 동안, 전력이 금속 타겟으로는 커플링되지 않으며 기판 바이어스 전력의 사용은 선택적이다. 일단 퍼지 단계가 완결되고 나면, 금속 타겟으로의 DC 전력 및 기판 바이어스 전력이 인가되어 i-PVD 금속 배선 프로세스를 수행한다. 발명자들은, 약 6nm 두께의 TaN(tantalum nitride)층, 그 위에 약 6nm 두께의 탄탈층, 그 위에 약 10nm 두께의 구리층으로 이루어진 필름 구조들의 400℃ 어닐링 후의 시트 저항은, 탄탈층이 오염화탄탈을 필름 선구체로서 이용하는 PEALD 프로세스로써 준비되고 플라즈마 퍼지가 수행될 때 증가하지 않는다는 것을 관찰하였다. 또한, 구리 덩어리도 관찰되지 않는다.
도 15는, 본 발명의 실시예에 따른, 기판을 프로세싱하기 위한 프로세싱 도구의 간략화된 블록도이다. 프로세싱 도구(1100)는 기판 로딩 챔버들(1110 및 1120), 프로세싱 시스템들(1130 - 1160), 프로세싱 도구(1100)내에서 기판들을 전달하기 위한 로봇 전달 시스템(1170), 및 프로세싱 도구(1100)를 제어하기 위한 제어기(1180)를 포함한다. 일례로서, 프로세싱 시스템(1130)은, 기판을 퍼지하는 것과 같이, 기판을 프리-프로세싱(pre-processing)하는데 이용될 수 있고, 프로세싱 시스템(1140)은 ALD 프로세스, PEALD 프로세스, CVD 프로세스, PECVD 프로세스, 또는 임의의 다른 필름 증착 프로세스와 같은 증착 프로세스를 수행하는데 이용될 수 있다. 예를 들어, 프로세싱 시스템(1140)은 여기에서 설명된 PEALD 프로세스들 중 하나 이상을 수행하기 위한 도 1 또는 도 2의 시스템으로서 구현될 수도 있다.
도 15의 실시예에서, 프로세싱 시스템(1150)은 앞서 논의된 바와 같이 직접 접속 금속 배선을 증착하기 위한 i-PVD 챔버이다. 프로세싱 시스템(1160)은, 이 또한 논의된 SPA 플라즈마 소스와 같은, 플라즈마 소스를 갖춘 지정된 처리 챔버이다. 프로세싱 도구(1100)는 제어기(1180)에 의해 제어될 수 있다. 제어기(1180)는 기판 로딩 챔버들(1110 및 1120), 프로세싱 시스템들(1130 - 1160), 및 로봇 전달 시스템(1170)에 커플링될 수 있고 그것들과 정보를 교환할 수 있다.
도 16은 ALD층과 같은 증착 필름의 오염 물질들을 감소시키기 위한 플라즈마를 발생시키기 위해 SPA 플라즈마 소스를 포함하는 플라즈마 프로세싱 시스템의 간략화된 블록도이다. 플라즈마 프로세싱 시스템(1200)에서 발생된 플라즈마는, 발명에 따른 ALD층의 사실상 손상 없는 퍼지를 가능하게 하는, (약 1.5 eV 미만의) 낮은 전자 온도 및 (〉1x1012/cm3) 높은 플라즈마 밀도가 특징이다. 플라즈마 프로세싱 시스템(1200)은, 예를 들어, 일본 아사카사 소재의 동경 엘렉트론 주식회사(tokyo Electron Limited)로부터 구입 가능한 TRIASTM SPA 프로세싱 시스템일 수 있다. 플라즈마 프로세싱 시스템(1200)은 프로세스 챔버(1250)의 위쪽 부분에 기판(1258)보다 큰 개구부(1251)를 갖춘 프로세스 챔버(1250)를 포함한다. 수정, 알루미늄 옥사이드, 실리콘, 또는 질화알루미늄으로 이루어진 원통형 상단 플레이트(1254)가 제공되어 개구부(1251)를 덮는다. 가스 라인들(1272)은 상단 플레이트(1254) 아래쪽의 프로세스 챔버(1250) 위쪽 부분 측벽에 배치된다. 일례로서, 가스 라인들(1272)의 수는 16개일 수 있다(도 16에는 2개만이 도시되어 있다). 다른 방법으로, 상이한 수의 가스 공급 라인들(1272)이 사용될 수 있다. 가스 라인들(1272)은 프로세스 챔버(1250)에 에둘러 정렬될 수 있지만, 발명을 위해 반드시 그래야 하는 것은 아니다. 프로세스 가스는 가스 라인들(1272)로부터 프로세스 챔버(1250)의 플라즈마 영역(1259)으로 고르고 균일하게 공급될 수 있다.
플라즈마 프로세싱 시스템(1250)에서, 마이크로파 전력은 복수개 슬롯들(1260A)을 가진 평면 안테나 부재(1260;plane antenna member)을 경유하여 상단 플레이트(1254)를 통해 프로세스 챔버(1250)로 제공된다. 슬롯 평면 안테나(1260)는 금속 플레이트, 예를 들어, 구리로 이루어질 수 있다. 슬롯 평면 안테나(1260)에 마이크로파 전력을 공급하기 위해, 상단 플레이트(1254)에 도파관(1263)이 배치되는데, 이 경우, 도파관(1263)은, 예를 들어, 2.45GHz 주파수의 마이크로파들을 발생시키기 위한 마이크로파 전원 공급 장치(1261;microwave power supply)에 접속된다. 도파관(1263;waveguide)은 하단이 슬롯 평면 안테나(1260)에 접속된 평판 원형 도파관(1263A), 원형 도파관(1263A)의 상부 표면쪽에 접속된 원형(동축) 도파관(1263B), 및 원형(동축) 도파관(1263B)의 상부 표면쪽에 접속된 동축 도파관 컨버터(1263C)의 출력 포트(도 16의 하부면)을 포함한다. 더 나아가, 직사각형 도파관(1263D)이 동축 도파관 컨버터(1263C)의 입력 포트 및 마이크로파 전원 공급 장치(1261)(도 16의 측면)에 접속된다.
원형 도파관(1263B) 내부에는, 전기-도전성 재료의 축 부분(1262;또는 내부 도체)이 동축적으로 제공되고, 그에 따라, 축 부분(1262)의 일단은 슬롯 평면 안테나(1260)의 상부면의 중심(또는 거의 중심)부에 접속되고 축 부분(1262)의 타단은 원형 도파관(1263B)의 상부면에 접속됨으로써, 동축 구조를 형성한다. 결과적으로, 원형 도파관(1263B)은 동축 도파관으로서 기능하도록 구성된다. 마이크로파 전력은, 예를 들어, 약 0.5 W/cm2와 약 4 W/cm2 사이일 수 있다. 다른 방법으로, 마이크로파 전력은 약 0.5 W/cm2와 약 3 W/cm2 사이일 수 있다.
또한, 진공 프로세스 챔버(1250)에는, 기판(1258;예를 들어, 웨이퍼)을 지지하고 가열하기 위한 기판 홀더(1252)가 상단 플레이트(1254)와 대향하여 제공된다. 기판 홀더(1252)는 기판(1258)을 가열하기 위한 가열기(1257)를 포함하는데, 이 경우, 가열기(1257)는 저항성 가열기일 수 있다. 다른 방법으로, 가열기(1257)는 램프 가열기 또는 다른 임의 유형의 가열기일 수도 있다. 더 나아가, 프로세스 챔버(1250)는 프로세스 챔버(1250)의 하단부 및 진공 펌프(1255)에 접속된 배출 라인(1253)을 포함한다.
여전히 도 16을 참조하면, 제어기(1299)는 마이크로프로세서, 메모리, 및 플라즈마 프로세싱 시스템(1200)의 입력들을 전달하고 활성화할 뿐만 아니라 플라즈마 프로세싱 시스템(1200)으로부터의 출력들을 모니터링하기에 충분한 제어 전압들을 발생시킬 수 있는 디지털 I/O 포트를 포함한다. 더 나아가, 제어기(1299)는 프로세스 챔버(1250), 펌프(1255), 가열기(1257), 및 마이크로파 전원 공급 장치(1261)에 커플링되어 그것들과 정보를 교환한다. 메모리에 저장된 프로그램은 저장된 프로세스 레시피에 따라 플라즈마 프로세싱 시스템(1200)의 앞서 언급된 컴포넌트들을 제어하는데 이용된다. 프로세싱 시스템 제어기(1299)의 일례는 UNIX-기반 워크스테이션이다. 다른 방법으로, 제어기(1299)는 범용 컴퓨터, DSP(digital signal processing) 시스템, 또는 여기에서 설명된 제어기들 중 어떤 것으로서 구현될 수 있다. 더 나아가, 제어기(1299)는 플라즈마 프로세싱 시스템(1200)에 대하여 국지적으로 배치될 수 있거나, 인터넷 또는 인트라넷을 경유하여, 플라즈마 프로세싱 시스템(1200)에 대하여 원격적으로 배치될 수도 있다. 추가적 세부 사항들을 위하여, SPA 플라즈마 소스를 갖춘 플라즈마 프로세스 시스템은, 그것의 전체 내용들이 여기에 참고 문헌으로써 포함되어 있는, "METHOD FOR PRODUCING MATERIAL OF ELECTRONIC DEVICE"라는 명칭의 계류중인 유럽 특허출원 제EP1361605A1호에서 설명된다.
발명들의 예시적인 소정 실시예들만이 앞서 설명되었지만, 당업자들이라면, 이 발명의 신규한 내용들 및 이점들로부터 실질적으로 벗어나지 않으면서, 예시적 실시예들에서의 다수 변경들이 가능하다는 것을 쉽게 알 수 있을 것이다. 예를 들어, 여기에서는 ALD 사이클 시간들을 향상시키고 ALD 필름들의 오염을 감소시키기 위한 다양한 기술들이 개시되었다. 이 사양들의 임의 조합 또는 이 사양들 모두가 단일 ALD 프로세싱 시스템으로 구현될 수도 있다. 따라서, 그러한 변경들 모두는 이 발명의 범위내에 포함되어야 한다.

Claims (20)

  1. PEALD(plasma enhanced atomic layer deposition) 프로세스를 사용해 기판에 필름을 증착하기 위한 방법으로서,
    상기 기판을, 상기 PEALD 프로세스를 용이하게 하도록 구성된 프로세스 챔버에 배치하는 단계;
    상기 프로세스 챔버내에 제1 프로세스 재료를 도입하는 단계;
    상기 프로세스 챔버내에 제2 프로세스 재료를 도입하는 단계;
    상기 기판의 표면에서 상기 제1 프로세스 재료와 상기 제2 프로세스 재료 사이의 환원 반응을 용이하게 하는 플라즈마를 발생시키기 위해, 상기 제2 프로세스 재료의 도입 동안, 600W 초과의 전자파 전력을 상기 프로세스 챔버에 커플링하는 단계; 및
    상기 제1 프로세스 재료와 상기 제2 프로세스 재료를 교대로 도입하여 상기 기판상에 필름을 형성하는 단계를 구비하는 것인 필름 증착 방법.
  2. 제1항에 있어서, 상기 제1 프로세스 재료를 도입하는 단계는 TaCl5를 상기 프로세스 챔버로 도입하는 단계를 구비하고;
    상기 제2 프로세스 재료를 도입하는 단계는 H2를 상기 프로세스 챔버로 도입하는 단계를 구비하며;
    상기 커플링하는 단계는 H2를 도입하는 동안 프로세스 챔버에 1000W 전후의 전자파 전력(electromagnetic power)을 커플링하는 단계를 구비하는 것인 필름 증착 방법.
  3. 제1항에 있어서, 상기 제1 프로세스 재료를 도입하는 단계는 TaCl5를 상기 프로세스 챔버로 도입하는 단계를 구비하고;
    상기 제2 프로세스 재료를 도입하는 단계는 H2를 상기 프로세스 챔버로 도입하는 단계를 구비하며;
    상기 필름을 형성 단계는 염소 함량이 0.95 원자 백분율(at. %) 미만인 탄탈 필름을 형성하는 단계를 구비하는 것인 필름 증착 방법.
  4. 제1항에 있어서, 상기 제1 프로세스 재료를 도입하는 단계는 TaCl5를 상기 프로세스 챔버로 도입하는 단계를 구비하고;
    상기 제2 프로세스 재료를 도입하는 단계는 H2를 상기 프로세스 챔버로 도입하는 단계를 구비하며;
    상기 필름을 형성 단계는 약 460 μΩ-cm 미만의 저항률을 가진 탄탈 필름을 형성하는 단계를 구비하는 것인 필름 증착 방법.
  5. 제1항에 있어서, 상기 제1 프로세스 재료를 도입하는 단계는 TaF5, TaCl5, TaBr5, TaI5, Ta(CO)5, PEMAT, PDMAT, PDEAT, TBTDET, Ta(NC2H5)(N(C2H5)2)3, Ta(NC(CH3)2C2H5)(N(CH3)2)3, Ta(NC(CH3)3)(N(CH3)2)3, TiF4, TiCl4, TiBr4, TiI4, TEMAT, TDMAT, TDEAT, Ti(NO3), WF6, W(CO)6, MoF6, Cu(TMVS)(hfac), CuCl, Zr(NO3)4, ZrCl4, Hf(OBut)4, Hf(NO3)4, HfCl4, NbCl5, ZnCl2, Si(OC2H5)4, Si(NO3)4, SiCl4, SiH2Cl2, Al2Cl6, Al(CH3)3, Ga(NO3)3, 또는 Ga(CH3)3 중 하나 이상을 구비하는 프로세스 재료를 도입하는 단계를 구비하는 필름 증착 방법.
  6. 제1항에 있어서, 상기 제2 프로세스 재료를 도입하는 단계는 H2, N2, O2, H2O, NH3, H2O2, SiH4, SiH6, N2H4, NH(CH3)2, 또는 N2H3CH3 중 하나 이상을 구비하는 프로세스 재료를 도입하는 단계를 구비하는 필름 증착 방법.
  7. 제1항에 있어서, 상기 커플링하는 단계는 상기 제2 프로세스 재료의 도입 동안, 100W 전후의 전자파 전력을 상기 프로세스 챔버에 커플링하는 단계를 구비하는 필름 증착 방법.
  8. 제1항에 있어서, 상기 커플링하는 단계는 상기 전자파 전력을 상기 프로세스 챔버의 플라즈마 전극 및 기판 홀더 중 하나 이상에 커플링하는 단계를 구비하는 필름 증착 방법.
  9. 제1항에 있어서, 캐리어 가스(carrier gas)를 사용하여 상기 제1 프로세스 재료 및 제2 프로세스 재료 중 하나 이상을 도입하는 단계를 더 구비하는 필름 증착 방법.
  10. 제1항에 있어서, 상기 기판으로의 제1 프로세스 재료의 흡착을 돕기 위해 플라즈마를 생성하도록 제1 프로세스 재료의 도입 동안 상기 프로세스 챔버로 전자파 전력을 커플링하는 단계를 더 구비하는 필름 증착 방법.
  11. 제1항에 있어서, 상기 제1 프로세스 재료를 도입하는 단계와 상기 제2 프로세스 재료를 도입하는 단계 사이에 퍼지 가스를 상기 프로세스 챔버로 도입하는 단계를 더 구비하는 필름 증착 방법.
  12. 제1항에 있어서, 상기 퍼지 가스를 도입하는 단계를 불활성 퍼지 가스를 상기 프로세스 챔버로 도입하는 단계를 더 구비하는 필름 증착 방법.
  13. 제1항에 있어서, 상기 기판에 배리어층, 시드층, 접착층, 게이트층, 금속층, 금속 옥사이드층, 금속 나이트라이드층, 또는 유전체층 중 하나 이상을 형성하는 단계를 더 구비하는 필름 증착 방법.
  14. 기판 프로세싱 시스템 프로세서에서의 실행을 위한 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체로서, 상기 프로세서에 의해 실행되는 경우, 상기 기판 프로세싱 시스템이 상기 청구항 제1항에서 따른 방법의 단계들을 수행하게 하는, 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체.
  15. 기판 프로세싱 시스템 프로세서에서의 실행을 위한 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체로서, 상기 프로세서에 의해 실행되는 경우, 상기 기판 프로세싱 시스템이 상기 청구항 제2항에서 따른 방법의 단계들을 수행하게 하는, 프로그램 명령어들을 포함하는 컴퓨터 판독 가능 매체.
  16. 상기 청구항 제1항에 따른 방법에 의해 형성된 배리어층, 시드층, 접착층, 게이트층, 금속층, 금속 옥사이드층, 금속 나이트라이드층, 및 유전체층 중 하나 이상을 구비하는 반도체 디바이스.
  17. 상기 청구항 제2항에 따른 방법에 의해 형성된 금속층을 구비하는 반도체 디바이스.
  18. 상기 청구항 제1항에 따른 방법에 의해 형성되고, 염소 함량이 0.95 원자 백 분율(at. %) 미만인, 탄탈 필름을 갖는 배리어층을 구비하는 반도체 디바이스.
  19. 상기 청구항 제1항에 따른 방법에 의해 형성되고, 약 460 μΩ-cm 미만의 저항률을 가진, 탄탈 필름을 갖는 배리어층을 구비하는 반도체 디바이스.
  20. 제1항에 있어서, 상기 제2 프로세스 재료를 도입하는 단계는 약 5 초 이하 동안 상기 제2 프로세스 재료를 도입하는 단계를 구비하는 것인 필름 증착 방법.
KR1020077023871A 2005-03-21 2006-03-15 플라즈마 강화 원자층 증착 시스템 및 방법 KR20080000593A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/083,899 2005-03-21
US11/083,899 US7314835B2 (en) 2005-03-21 2005-03-21 Plasma enhanced atomic layer deposition system and method

Publications (1)

Publication Number Publication Date
KR20080000593A true KR20080000593A (ko) 2008-01-02

Family

ID=37010943

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020077023871A KR20080000593A (ko) 2005-03-21 2006-03-15 플라즈마 강화 원자층 증착 시스템 및 방법

Country Status (5)

Country Link
US (1) US7314835B2 (ko)
JP (1) JP5318562B2 (ko)
KR (1) KR20080000593A (ko)
CN (1) CN100585818C (ko)
WO (1) WO2006101857A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110117078A (ko) * 2009-01-09 2011-10-26 램 리써치 코포레이션 어레이 더블 패터닝을 위한 스페이서 형성
KR20140096367A (ko) * 2011-11-15 2014-08-05 램 리써치 코포레이션 하이브리드 펄싱 플라즈마 처리 시스템
KR20150133848A (ko) * 2013-03-28 2015-11-30 어플라이드 머티어리얼스, 인코포레이티드 가요성 기판들을 위한 증착 플랫폼 및 그 작동 방법

Families Citing this family (423)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
TW200537695A (en) * 2004-03-19 2005-11-16 Adv Lcd Tech Dev Ct Co Ltd Insulating film forming method, insulating film forming apparatus, and plasma film forming apparatus
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
KR100714269B1 (ko) * 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
WO2007000186A1 (en) * 2005-06-29 2007-01-04 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition method of ternary films
US7338901B2 (en) * 2005-08-19 2008-03-04 Tokyo Electron Limited Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
WO2007058120A1 (ja) * 2005-11-18 2007-05-24 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7407876B2 (en) * 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US20080026576A1 (en) * 2006-07-31 2008-01-31 Rohm And Haas Electronic Materials Llc Organometallic compounds
US8034406B2 (en) * 2006-09-26 2011-10-11 Tokyo Electron Limited Integrated substrate processing in a vacuum processing tool
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7531452B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7713868B2 (en) * 2007-03-30 2010-05-11 Tokyo Electron Limited Strained metal nitride films and method of forming
US7494937B2 (en) * 2007-03-30 2009-02-24 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
WO2008129508A2 (en) * 2007-04-20 2008-10-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of transition metal carbide containing films
US7829158B2 (en) * 2007-05-07 2010-11-09 Tokyo Electron Limited Method for depositing a barrier layer on a low dielectric constant material
US8026168B2 (en) * 2007-08-15 2011-09-27 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US7704884B2 (en) * 2008-04-11 2010-04-27 Micron Technology, Inc. Semiconductor processing methods
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
KR101589777B1 (ko) 2008-08-01 2016-01-28 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 기재 상에 탄탈-함유 층의 형성 방법
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
JPWO2010038885A1 (ja) * 2008-09-30 2012-03-01 東京エレクトロン株式会社 窒化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9287113B2 (en) 2012-11-08 2016-03-15 Novellus Systems, Inc. Methods for depositing films on sensitive substrates
WO2011162136A1 (en) * 2010-06-23 2011-12-29 Tokyo Electron Limited Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP2012182303A (ja) * 2011-03-01 2012-09-20 Toppan Printing Co Ltd 太陽電池バックシート
WO2012137949A1 (ja) * 2011-04-08 2012-10-11 東京エレクトロン株式会社 窒化物半導体の製造方法、窒化物半導体、およびiii-v族窒化物の成膜方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
CN103065647B (zh) * 2011-10-19 2015-12-16 中芯国际集成电路制造(上海)有限公司 立体结构的磁隧道结的形成方法及形成设备
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102400113A (zh) * 2011-12-14 2012-04-04 嘉兴科民电子设备技术有限公司 远程脉冲射频电感耦合放电等离子体增强原子层沉积装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5953531B2 (ja) * 2012-05-09 2016-07-20 株式会社Joled 薄膜製造方法および表示パネルの製造方法、tft基板の製造方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI595112B (zh) 2012-10-23 2017-08-11 蘭姆研究公司 次飽和之原子層沉積及保形膜沉積
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
WO2015097871A1 (ja) * 2013-12-27 2015-07-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
JP6277388B2 (ja) * 2014-01-14 2018-02-14 株式会社昭和真空 成膜方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP2016005900A (ja) 2014-05-27 2016-01-14 パナソニックIpマネジメント株式会社 ガスバリア膜、ガスバリア膜付きフィルム基板およびこれを備えた電子デバイス。
WO2015180077A1 (zh) * 2014-05-28 2015-12-03 王东君 一种辅助增强原子层沉积方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6345104B2 (ja) * 2014-12-24 2018-06-20 東京エレクトロン株式会社 成膜方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6600214B2 (ja) * 2015-09-28 2019-10-30 株式会社Screenホールディングス 成膜装置
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6527482B2 (ja) 2016-03-14 2019-06-05 東芝デバイス&ストレージ株式会社 半導体製造装置
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR101820237B1 (ko) 2016-04-29 2018-01-19 한양대학교 산학협력단 가압식 금속 단원자층 제조 방법, 금속 단원자층 구조체 및 가압식 금속 단원자층 제조 장치
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
RU2633894C1 (ru) * 2016-06-24 2017-10-19 Акционерное общество "Научно-производственное предприятие "Пульсар" Способ плазмо-стимулированного атомно-слоевого осаждения изолирующих диэлектрических покрытий на гетероструктурах нитрид-галлиевых полупроводниковых приборов
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6935667B2 (ja) 2016-10-07 2021-09-15 東京エレクトロン株式会社 成膜方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9972501B1 (en) 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
WO2019078652A1 (ko) * 2017-10-18 2019-04-25 한양대학교 산학협력단 막 제조방법 및 그 제조장치, 금속 산화물 트랜지스터 및 그 제조방법
US11015243B2 (en) 2017-10-18 2021-05-25 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Method and apparatus for forming layer, metal oxide transistor and fabrication method thereof
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10714319B2 (en) * 2018-02-21 2020-07-14 Applied Materials, Inc. Apparatus and methods for removing contaminant particles in a plasma process
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP6744347B2 (ja) * 2018-03-02 2020-08-19 東芝デバイス&ストレージ株式会社 半導体装置の製造方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11143318B2 (en) 2018-03-19 2021-10-12 Hitachi Metals, Ltd. Diaphragm valve and mass flow controller using the same
CN111936948A (zh) 2018-03-26 2020-11-13 日立金属株式会社 流量控制装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP6854260B2 (ja) * 2018-06-20 2021-04-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7089987B2 (ja) * 2018-08-22 2022-06-23 株式会社日本製鋼所 原子層堆積装置
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP2020066764A (ja) * 2018-10-23 2020-04-30 東京エレクトロン株式会社 成膜装置および成膜方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP6710795B2 (ja) * 2019-03-13 2020-06-17 東芝デバイス&ストレージ株式会社 半導体装置の製造方法
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11823910B2 (en) * 2020-07-31 2023-11-21 Tokyo Electron Limited Systems and methods for improving planarity using selective atomic layer etching (ALE)
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114951675B (zh) * 2022-05-30 2024-01-30 中国科学院合肥物质科学研究院 一种超细钨-钽纳米晶合金粉体及其制备方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100273473B1 (ko) * 1999-04-06 2000-11-15 이경수 박막 형성 방법
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US6898558B2 (en) * 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US7163721B2 (en) * 2003-02-04 2007-01-16 Tegal Corporation Method to plasma deposit on organic polymer dielectric film
WO2004085703A1 (ja) * 2003-03-25 2004-10-07 Tokyo Electron Limited 処理装置及び処理方法
WO2004112114A1 (ja) * 2003-06-16 2004-12-23 Tokyo Electron Limited 成膜方法、半導体装置の製造方法、半導体装置および成膜装置

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20110117078A (ko) * 2009-01-09 2011-10-26 램 리써치 코포레이션 어레이 더블 패터닝을 위한 스페이서 형성
KR20140096367A (ko) * 2011-11-15 2014-08-05 램 리써치 코포레이션 하이브리드 펄싱 플라즈마 처리 시스템
KR20150133848A (ko) * 2013-03-28 2015-11-30 어플라이드 머티어리얼스, 인코포레이티드 가요성 기판들을 위한 증착 플랫폼 및 그 작동 방법

Also Published As

Publication number Publication date
JP5318562B2 (ja) 2013-10-16
WO2006101857A2 (en) 2006-09-28
US20060211246A1 (en) 2006-09-21
CN100585818C (zh) 2010-01-27
WO2006101857A3 (en) 2007-07-26
US7314835B2 (en) 2008-01-01
CN101147247A (zh) 2008-03-19
JP2008537979A (ja) 2008-10-02

Similar Documents

Publication Publication Date Title
KR101251133B1 (ko) 필름 증착 방법, 컴퓨터 판독 가능 매체, 반도체 디바이스 및 원자층 증착 시스템
US7341959B2 (en) Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) Plasma enhanced atomic layer deposition system and method
US7314835B2 (en) Plasma enhanced atomic layer deposition system and method
US8974868B2 (en) Post deposition plasma cleaning system and method
US20060210723A1 (en) Plasma enhanced atomic layer deposition system and method
US7959985B2 (en) Method of integrating PEALD Ta-containing films into Cu metallization
US8163087B2 (en) Plasma enhanced atomic layer deposition system and method
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US7651568B2 (en) Plasma enhanced atomic layer deposition system
US7407876B2 (en) Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
KR101351657B1 (ko) 박막 형성 방법, 컴퓨터 판독가능 매체 및 박막 기상 증착 시스템
US8747964B2 (en) Ion-induced atomic layer deposition of tantalum
US20070042577A1 (en) Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US20050284370A1 (en) High rate atomic layer deposition apparatus and method of using
WO2006104864A2 (en) A plasma enhanced atomic layer deposition system

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E601 Decision to refuse application