CN100585818C - 等离子体增强原子层沉积方法和由其制造的半导体器件 - Google Patents

等离子体增强原子层沉积方法和由其制造的半导体器件 Download PDF

Info

Publication number
CN100585818C
CN100585818C CN200680009167A CN200680009167A CN100585818C CN 100585818 C CN100585818 C CN 100585818C CN 200680009167 A CN200680009167 A CN 200680009167A CN 200680009167 A CN200680009167 A CN 200680009167A CN 100585818 C CN100585818 C CN 100585818C
Authority
CN
China
Prior art keywords
plasma
process chamber
substrate
power
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN200680009167A
Other languages
English (en)
Other versions
CN101147247A (zh
Inventor
石坂忠大
柳本熏
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101147247A publication Critical patent/CN101147247A/zh
Application granted granted Critical
Publication of CN100585818C publication Critical patent/CN100585818C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Abstract

一种用于利用等离子体增强原子层沉积(PEALD)工艺在衬底上沉积膜的方法包括将衬底放置在被配置为促进PEALD工艺的工艺室中。向工艺室中引入第一处理材料,并且向工艺室中引入第二处理材料。在第二处理材料的引入期间高于600W的电磁功率被耦合到工艺室,以生成加速在衬底表面处的第一和第二处理材料之间的还原反应的等离子体。通过交替引入第一处理材料和第二处理材料在衬底上形成膜。

Description

等离子体增强原子层沉积方法和由其制造的半导体器件
技术领域
本发明涉及等离子体增强原子层沉积系统和其操作方法,更具体而言,涉及工作在预定的等离子体功率水平的等离子体增强原子层沉积系统。
背景技术
一般来说,在材料处理期间,当制作复合材料结构时采用等离子体来促进材料膜的添加和去除。例如,在半导体处理中,(干法)等离子体刻蚀工艺被用于沿在硅衬底上图案化的精细的沟槽或在过孔或触点内去除或刻蚀材料。或者,例如,气相沉积工艺被用于沿精细的线或在硅衬底上的过孔或触点内沉积材料。在后者中,气相沉积工艺包括化学气相沉积(CVD)和等离子体增强化学气相沉积(PECVD)。
在PECVD中,等离子体被用于改变或增强膜沉积机制。例如,等离子体激发通常允许膜形成反应在明显低于通过热激发CVD产生类似的膜一般所需的温度的温度下进行。另外,等离子体激发可以活化在热CVD中在能量或动力学方面并不有利的膜形成化学反应。因而,PECVD膜的化学和物理属性可以通过调节工艺参数在相对较宽的范围上变化。
近年来,原子层沉积(ALD),作为CVD或(更一般的说)膜沉积的一种形式,已经作为用于在生产线前端(FEOL)操作中的超薄栅极膜形成以及用于生产线后端(BEOL)操作中的金属化的超薄阻挡层和种子层形成的候选。在ALD中,两种或更多种处理气体被交替且顺序地引入,以便按一次一单层的方式形成材料膜。这种ALD工艺已被证明能提供在层厚度方面改善的均匀性和控制,以及与其上沉积层的特征的保形性。然而,当前的ALD工艺通常具有对于生产需求来说并不可行的慢沉积速率。而且,当前的ALD工艺经常遇到影响沉积的膜的质量(因而影响所制造的器件的质量)的污染问题。诸如这些的因素已经成为对ALD膜的广泛接受的阻碍,尽管ALD膜具有优异的特性。
发明内容
因此,本发明的一个目的涉及解决ALD系统和工艺具有的上述和/或其他问题中的任何一个。
本发明的另一个目的是提高ALD膜的沉积速率。
本发明的另一个目的是减少与ALD膜的沉积有关的污染问题。
本发明的这些和/或其他目的可以由一种用于利用等离子体增强原子层沉积(PEALD)工艺在衬底上沉积膜的方法提供。在本发明的一个方面中,该方法包括将衬底放置在被配置为适用于PEALD工艺的工艺室中、将第一处理材料引入工艺室内以及将第二处理材料引入工艺室内。在第二处理材料的引入期间将高于600W的电磁功率耦合到工艺室,以生成加速在衬底表面处的第一和第二处理材料之间的还原反应的等离子体。通过交替引入第一处理材料和第二处理材料在衬底上形成膜。
附图说明
在附图中:
图1A示出了根据本发明实施例的沉积系统的示意图;
图1B示出了根据本发明实施例的另一个沉积系统的示意图;
图2A示出了根据本发明实施例的沉积系统的示意图;
图2B示出了根据本发明实施例的另一个沉积系统的示意图;
图3是根据本发明实施例的ALD工艺的时序图;
图4A-4C表示示例性的ALD工艺数据;
图5示出了根据本发明实施例的ALD工艺的工艺流程图;
图6示出了根据本发明另一个实施例的ALD工艺的工艺流程图;
图7A和7B示出了描绘根据本发明实施例用于生成清洁和还原反应等离子体的耦合到工艺室的功率的功率水平变化的功率图;
图8示出了根据本发明实施例的ALD工艺的工艺流程图;
图9A-C图示了根据本发明实施例的在PEALD工艺室中的衬底区(substrate zone)和外围区(peripheral zone),以及用于衬底区中的等离子体和外围区中的等离子体的两个时序序列;
图10A-10D示出了根据本发明实施例的外围电极组件;
图11A-11D示出了根据本发明实施例的外围电感电极组件;
图12示出了根据本发明实施例的ALD工艺的工艺流程图;
图13示出了根据本发明实施例的ALD工艺的工艺流程图;
图14示出了根据本发明实施例的衬底工艺的工艺流程图;
图15是根据本发明实施例的用于处理衬底的处理工具的简化框图;以及
图16是包含缝隙平面天线(SPA)等离子体源的等离子体处理系统的简化框图,该等离子体源用于生成用于减少ALD层上的污染物的软等离子体(soft plasma)。
具体实施方式
在下面的描述中,为了帮助对本发明的全面理解并且出于说明而非限制的目的,阐述了具体细节,例如沉积系统的特定几何形状以及各种部件的描述。然而,应当理解,在脱离这些具体细节的其他实施例中也可实施本发明。
现在参考附图,附图中相似的标号在所有附图中指代相同或相应的部分,图1A图示了根据一个实施例的用于在衬底上沉积薄膜的沉积系统1。例如,在生产线后端(BEOL)操作中,在用于半导体器件的互连和内连结构的金属化期间,薄的保形阻挡层可以沉积在线路沟槽或过孔上以最小化金属到层间或层内电介质中的迁移。另外,薄的保形种子层可以沉积在线路沟槽或过孔上以提供对于体金属填充具有可接受的粘附属性的膜,或者薄的保形粘附层可以沉积在线路沟槽或过孔上以提供对于金属种子沉积具有可接受的粘附属性的膜。在生产线前端(FEOL)操作中,沉积系统1可以用于沉积超薄栅极层和/或栅极介电层,例如高介电常数(高K)膜。
沉积系统1包括具有衬底夹持器20的工艺室10,衬底夹持器20被配置为支撑其上形成有薄膜的衬底25。工艺室10还包括耦合到第一处理材料供应系统40、第二处理材料供应系统42和净化气体供应系统44的上部组件30。另外,沉积系统1包括第一功率源50和衬底温度控制系统60,第一功率源50耦合到工艺室10并且被配置为在工艺室10中生成等离子体,衬底温度控制系统60耦合到衬底夹持器20并且被配置为升高和控制衬底25的温度。另外,沉积系统1包括控制器70,控制器70可以耦合到工艺室10、衬底夹持器20、上部组件30、第一处理材料供应系统40、第二处理材料供应系统42、净化气体供应系统44、第一功率源50和衬底温度控制系统60。
或者或另外,控制器70可以耦合到一个或多个额外的控制器/计算机(未示出),并且控制器70可以从额外的控制器/计算机获得设置和/或配置信息。
在图1A中,示出了单个处理元件(10、20、30、40、42、44、50和60),但是这并不是本发明所必需的。沉积系统1可包括任何数目的处理元件,除了独立的处理元件外,这些处理元件具有与其相关联的任何数目的控制器。
控制器70可以用于配置任何数目的处理元件(10、20、30、40、42、44、50和60),并且控制器70可以收集、提供、处理、存储并显示来自处理元件的数据。控制器70可包括用于控制处理元件中的一个或多个的多个应用。例如,控制器70可包括图形用户界面(GUI)部件(未示出),其可以提供容易使用的界面,这些界面使得用户能够监视和/或控制一个或多个处理元件。
仍然参考图1A,沉积系统1可以被配置为处理200mm衬底、300mm衬底或更大尺寸的衬底。事实上,可以预期沉积系统可以被配置为处理不管多大尺寸的衬底、晶片或LCD,本领域技术人员将意识到这一点。因此,尽管本发明的某些方面是结合半导体衬底的处理描述的,但是本发明并不仅限于此。
第一处理材料供应系统40和第二处理材料供应系统42被配置为向工艺室10交替地引入第一处理材料和第二处理材料。第一材料的引入和第二材料的引入的交替可以是循环的,或者其可以是非循环的,即,在第一和第二处理材料的引入之间有可变时间段。第一处理材料可例如包括膜前驱体,例如具有在形成在衬底25上的膜中存在的基本原子或分子种类的组分。例如,膜前驱体可以最初为固相、液相或气相,并且其可以在使用或不使用载气的情况下以气相传输到工艺室10。第二处理材料可例如包括还原剂,还原剂也可包括在形成在衬底25上的膜中存在的原子或分子种类。例如,还原剂可以最初为固相、液相或气相,并且其可以在使用或不使用载气的情况下以气相传输到工艺室10。
另外,净化气体供应系统44可以被配置为向工艺室10引入净化气体。例如,净化气体的引入可以发生在向工艺室10引入第一处理材料和第二处理材料之间,或者可以在向工艺室10引入第二处理材料之后。净化气体可包括惰性气体,例如稀有气体(即,氦、氖、氩、氙、氪)或氮或氢。在一个实施例中,净化气体供应系统44还可以被配置为引入反应性净化气体,这将在下面描述。
仍然参考图1A,沉积系统1包括等离子体生成系统,该系统被配置为在向工艺室10交替引入第一处理材料和第二处理材料的至少一部分期间生成等离子体。等离子体生成系统可包括耦合到工艺室10的第一功率源50,第一功率源50被配置为将功率耦合到工艺室10中的第一处理材料或第二处理材料或这两者。第一功率源50可以是可变功率源,并且可包括射频(RF)发生器和阻抗匹配网络,还可包括电极,RF功率通过该电极耦合到工艺室10中的等离子体。电极可以形成在上部组件30中,并且其可以被配置为与衬底夹持器20相对。阻抗匹配网络可以被配置为优化从RF发生器到等离子体的RF功率的传输,这是通过将匹配网络的输出阻抗与工艺室(包括电极和等离子体)的输入阻抗相匹配而实现的。例如,阻抗匹配网络用来通过减小反射功率来提高到等离子体工艺室10中的等离子体的RF功率的传输。匹配网络拓扑(例如,L型、π型、T型等)和自动控制方法对于本领域技术人员来说是公知的。
或者,第一功率源50可包括射频(RF)发生器和阻抗匹配网络,还可包括诸如电感线圈之类的天线,RF功率通过该天线耦合到工艺室10中的等离子体。天线可例如包括螺旋或电磁线圈,例如在电感耦合等离子体源或螺旋波源中,或者其可例如包括扁平线圈,例如在变压器耦合等离子体源中。
或者,第一功率源50可包括微波频率发生器,还可包括微波天线和微波窗口,微波功率通过该微波天线和微波窗口耦合到工艺室10中的等离子体。微波功率的耦合可以利用电子回旋共振(ECR)技术实现,或者其可以利用表面波等离子体技术实现,例如缝隙平面天线(SPA),如在题为“Plasma processing apparatus for etching,ashing,and film-formation”的美国专利No.5,024,716中所描述的;该专利的内容通过引用整体结合于此。
可选地,等离子体生成系统包括上部组件30中的第一电极和位于如图1B所示的沉积系统1’的上部组件30的外围的第二电极30A。在实施例中,第二电极30A放置在衬底25的外边缘外部。而且,电极30A可包括被配置为注入等离子体生成气体的气体注入组件(下面将进一步描述)。功率可以从第一功率源50或者从未在图1B中示出的独立功率源耦合到第二电极30A。在电极30A从功率源50得到功率的情况下,功率划分器网络可以用于确保在电极30A上提供的功率与在上部组件30的电极上提供的功率在相位和/或幅度和/或频率方面有所不同。向电极30A提供功率的功率源可以是相对于功率源50所描述的配置中的任何一种,或者可以使用其他合适的配置。例如,电极30A可包括耦合到射频(RF)功率的环形电极、单圈(single-turn)线圈或螺旋形线圈。其他电感耦合设备也可以用于向等离子体中提供电磁功率。例如,一种这样的设备在题为“PlasmaProcessing System with Locally-Efficient Inductive Plasma Coupling”的未决美国专利申请No.10/717,268(律师案卷号No.USP03Z003)中有所描述。功率供应的一般频率可以从约0.1MHz到约100MHz。
可选地,沉积系统1包括衬底偏置生成系统,该系统被配置为在向工艺室10交替引入第一处理材料和第二处理材料的至少一部分期间生成或辅助生成等离子体。衬底偏置系统可包括耦合到工艺室10并且被配置为将功率耦合到衬底25的衬底功率源52。衬底功率源52可包括射频(RF)发生器和阻抗匹配网络,还可包括电极,RF功率通过该电极耦合到衬底25。电极可以形成在衬底夹持器20中。例如,衬底夹持器20可以经由从RF发生器(未示出)通过阻抗匹配网络(未示出)发送到衬底夹持器20的RF功率被电偏置在某一RF电压。RF偏置的一般频率可以从约0.1MHz到约100MHz。用于等离子体处理的RF偏置系统对于本领域技术人员来说是公知的。或者,RF功率以多个频率被施加到衬底夹持器电极。
尽管等离子体生成系统和可选的衬底偏置系统在图1A中示为分离的实体,但是它们实际上可包括耦合到衬底夹持器20的一个或多个功率源。另外,如图1B所示,用于向电极30A提供功率的功率源可以与功率源50和52中的一个或这两者相组合。
仍然参考图1A,沉积系统1包括耦合到衬底夹持器20并且被配置为升高并控制衬底25的温度的衬底温度控制系统60。衬底温度控制系统60包括温度控制元件,例如包括再循环冷却剂流的冷却系统,这种再循环冷却剂流从衬底夹持器20接收热量并将热量传输到热交换器系统(未示出),或者在加热时传输来自热交换器系统的热量。另外,温度控制元件可包括加热/冷却元件,例如电阻性加热元件或热电加热器/冷却器,这些元件可以被包括在衬底夹持器20以及工艺室10的室壁和沉积系统1内的任何其他部件中。
为了提高衬底25和衬底夹持器20之间的热传输,衬底夹持器20可包括机械夹紧系统或电夹紧系统(例如静电夹紧系统),以将衬底25附着到衬底夹持器20的上表面。此外,衬底夹持器20还可包括衬底背面气体传输系统,该系统被配置为将气体引入到衬底25的背面,以提高衬底25和衬底夹持器20之间的气体间隙热导。这种系统可以用在当在升高或降低温度时需要对衬底进行温度控制的情况下。例如,衬底背面气体系统可包括两区气体分配系统,其中氦气间隙压强可以在衬底25的中心和边缘之间独立变化。
此外,工艺室10还经过导管38耦合到包括真空泵系统34和阀36的压强控制系统32,其中压强控制系统32被配置为可控地将工艺室10抽空到适合于在衬底25上形成薄膜并且适合于第一和第二处理材料的使用的压强。从图1A中可见,沉积系统1可选地可包括真空泵34A,真空泵34A适合于通过上部组件30中的气体注入喷嘴进行真空泵吸,这将在下面进一步描述。尽管在图1A中示意性地示出,但是真空泵34A可包括例如在真空泵34中使用的阀和导管。
真空泵系统34和34A可包括泵速能高达约5000公升每秒(以及更大)的涡轮分子真空泵(TMP)或低温泵,并且阀36可包括用于节流室压强的门阀。在传统的用于干法等离子体刻蚀的等离子体处理设备中,通常采用300到5000公升每秒的TMP。而且,用于监视室压强的设备(未示出)可以耦合到工艺室10。压强测量设备可以例如是可从MKSInstruments Inc.(Andover,MA)购得的628B型Baratron绝对电容压力计。
仍然参考图1A和图1B,控制器70可包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活到沉积系统1(1’)的输入以及监视来自沉积系统1(1’)的输出。而且,控制器70可以耦合到工艺室10、衬底夹持器20、上部组件30、电极30A、第一处理材料供应系统40、第二处理材料供应系统42、净化气体供应系统44、第一功率源50、第二功率源52、衬底温度控制器60和压强控制系统32,并与之交换信息。例如,存储在存储器中的程序可以用于根据工艺流程激活到沉积系统1(1’)的前述部件的输入,以执行刻蚀工艺或沉积工艺。控制器70的一个示例是可以从Texas,Austin,Dell Corporation得到的DELLPRECISION WORKSTATION 610TM
然而,控制器70可以实现为通用计算机系统,其响应于处理器执行包含在存储器中的一条或多条指令的一个或多个序列而执行本发明的基于微处理器的处理步骤的一部分或全部。这些指令可以从另一计算机可读介质(例如硬盘或可移动介质驱动器)读取到控制器存储器中。也可以采用多处理布置中的一个或多个处理器作为控制器微处理器,以执行包含在主存储器中的指令序列。在可替换实施例中,硬连线电路可以用于替代软件指令或者与软件指令相组合。从而,实施例并不限于硬件电路和软件的任何特定组合。
控制器70包括至少一种计算机可读介质或存储器,例如控制器存储器,其用于保存根据本发明的教导编程的指令并用于保存数据结构、表、记录或可能是实现本发明所必需的其他数据。计算机可读介质的示例是致密盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、闪存EPROM)、DRAM、SRAM、SDRAM、或任何其他磁介质、致密盘(例如CD-ROM)、或任何其他光介质、穿孔卡、纸带、或其他具有孔图案的物理介质、载波(下面描述)、或任何其他计算机可读取的介质。
本发明包括存储在计算机可读介质中的任何一种或其组合上的软件,这些软件用于控制控制器70,用于驱动用于实现本发明的一个或多个设备,并且/或者用于使得控制器能够与人类用户交互。这些软件可包括但不限于设备驱动器、操作系统、开发工具和应用软件。这种计算机可读介质还包括用于执行在实现本发明时执行的处理的全部或一部分(如果处理是分布式的话)的本发明的计算机程序产品。
本发明的计算机代码设备可以是任何可解释的或可执行的代码机制,包括但不限于脚本、可解释程序、动态链接库(DLL)、Java类和完全可执行程序。而且,本发明的处理的一部分可以是分布式的以实现更好的性能、可靠性和/或成本。
这里所用的术语“计算机可读介质”指参与向控制器70的处理器提供以供执行的指令的任何介质。计算机可读介质可以采取许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质例如包括光盘、磁盘和磁光盘,例如硬盘或可移动介质驱动器。易失性介质包括动态存储器,例如主存储器。而且,各种形式的计算机可读介质可以用来向控制器的处理器运送一条或多条指令的一个或多个序列以供执行。例如,这些指令最初可以承载在远程计算机的磁盘上。远程计算机可以将用于实现本发明的全部或一部分的指令远程加载到动态存储器中,并通过网络将指令发送到控制器70。
控制器70可以相对于沉积系统1(1’)位于本地,或者其可以相对于沉积系统1(1’)位于远处。例如,控制器70可以利用直接连接、内联网、因特网和无线连接中的至少一种与沉积系统1(1’)交换数据。控制器70可以耦合到例如在客户位置(即,器件制造者等)处的内联网,或者可以耦合到例如在供应商位置(即,设备制造商)处的内联网。另外,例如,控制器70可以耦合到因特网。此外,另一台计算机(即,控制器、服务器等)可以经由直接连接、内联网和因特网中的至少一种访问例如控制器70以交换数据。本领域技术人员还将意识到,控制器70可以经由无线连接与沉积系统1(1’)交换数据。
现在参考图2A,示出了沉积系统101。沉积系统101包括具有衬底夹持器120的工艺室110,衬底夹持器120被配置为支撑其上形成有薄膜的衬底125。工艺室110还包括耦合到第一处理材料供应系统140、第二处理材料供应系统142和净化气体供应系统144的上部组件130。另外,沉积系统101包括第一功率源150和衬底温度控制系统160,第一功率源150耦合到工艺室110并且被配置为在工艺室110中生成等离子体,衬底温度控制系统160耦合到衬底夹持器120并且被配置为升高和控制衬底125的温度。另外,沉积系统101包括控制器170,控制器170可以耦合到工艺室110、衬底夹持器120、上部组件130、第一处理材料供应系统140、第二处理材料供应系统142、净化气体供应系统144、第一功率源150和衬底温度控制系统160。控制器170可以实现为例如以上参考图1A和图1B所描述的控制器70。
沉积系统101可以被配置为处理200mm衬底、300mm衬底或更大尺寸的衬底。事实上,可以预期沉积系统可以被配置为处理不管多大尺寸的衬底、晶片或LCD,本领域技术人员将意识到这一点。衬底可以通过通道112被引入到工艺室110,并且它们可以经由衬底抬升系统122抬升到衬底夹持器120的上表面并从衬底夹持器120的上表面升起。
第一处理材料供应系统140和第二处理材料供应系统142被配置为向工艺室110交替地引入第一处理材料和第二处理材料。第一材料的引入和第二材料的引入的交替可以是循环的,或者其可以是非循环的,即,在第一和第二处理材料的引入之间有可变时间段。第一处理材料可例如包括膜前驱体,例如具有在形成在衬底125上的膜中存在的基本原子或分子种类的组分。例如,膜前驱体可以最初为固相、液相或气相,并且其可以在使用或不使用载气的情况下以气相传输到工艺室110。第二处理材料可例如包括还原剂,还原剂也可包括在形成在衬底125上的膜中存在的原子或分子种类。例如,还原剂可以最初为固相、液相或气相,并且其可以在使用或不使用载气的情况下以气相传输到工艺室110。
第一处理材料和第二处理材料是根据要沉积在衬底上的材料的组分和特性选择的。例如,在作为阻挡层的钽(Ta)的沉积期间,第一处理材料可包括固态膜前驱体,例如五氯化钽(TaCl5),第二处理材料可包括还原剂,例如氢气(H2)。在另一个示例中,在作为阻挡层的氮化钽(TaN)或碳氮化钽(TaCN)的沉积期间,第一处理材料可包括金属有机膜前驱体,例如叔戊酰亚胺-三-二甲基氨基钽(Ta(NC(CH3)2C2H5)(N(CH3)2)3,下文中称为
Figure C20068000916700141
关于额外的细节,请参见美国专利No.6,593,484),第二处理材料可包括还原剂,例如氢气(H2)、氨气(NH3)、硅烷(SiH4)、或乙硅烷(Si2H6)或其组合。在另一个示例中,当沉积氮化钽(即,TaNx)时,第一前驱体可包括含钽前驱体,例如TaCl5、PDEAT(五(二乙基氨基)钽)、PEMAT(五(乙基甲基氨基)钽)、TaBr5或TBTDET(叔丁基亚氨基三(二乙基氨基)钽)。第二前驱体可包括H2和N2的混合物或NH3。另外,当沉积五氧化钽时,第一处理材料可包括TaCl5,第二处理材料可包括H2O或H2和O2。第一和第二处理材料的其他示例将在下面参考图5提供。
另外,净化气体供应系统144可以被配置为向工艺室110引入净化气体。例如,净化气体的引入可以发生在向工艺室110引入第一处理材料和第二处理材料之间,或者可以在向工艺室110引入第二处理材料之后。净化气体可包括惰性气体,例如稀有气体(即,氦、氖、氩、氙、氪)或氮或氢。在一个实施例中,净化气体供应系统144还可以被配置为向室110中引入反应性净化气体,这将在下面进一步描述。
第一材料供应系统140、第二材料供应系统142和净化气体供应系统144可包括一个或多个材料源、一个或多个压强控制设备、一个或多个流控制设备、一个或多个过滤器、一个或多个阀或者一个或多个流量传感器。如参考图1A和图1B所讨论的,流控制设备可包括液压驱动阀、电-机械(电磁)阀和/或高速率脉冲气体注入阀。示例性的脉冲气体注入系统在2001年3月2日提交的未决美国申请60/272,452中有更详细的描述,该申请通过引用整体结合于此。
仍然参考图2A,第一处理材料通过第一材料管线141耦合到工艺室110,第二处理材料通过第二材料管线143耦合到工艺室110。另外,净化气体可以通过第一材料管线141(如图所示)、第二材料管线143(如图所示)、或独立管线或其任意组合耦合到工艺室110。在图2A的实施例中,第一处理材料、第二处理材料和净化气体通过包括气体注入组件180的上部组件130被引入到工艺室110并在工艺室110内分配。尽管未在图2A中示出,但是侧壁气体注入阀也可以被包括在处理系统中。气体注入组件180可包括第一注入板182、第二注入板184和第三注入板186,这些注入板通过绝缘组件188与工艺室110电绝缘。第一处理材料经由形成在第二注入板184和第三注入板186之间的第一空间190通过第二注入板184中的第一通孔阵列194和第一注入板182中的第一喷嘴(orifice)阵列195从第一处理材料供应系统140耦合到工艺室110。第二处理材料或净化气体或这两者经由形成在第二注入板184中的第二空间192通过第一注入板182中的第二喷嘴阵列197从第二处理材料供应系统142或净化气体供应系统144耦合到工艺室110。
仍然参考图2A,沉积系统101包括等离子体生成系统,该系统被配置为在向工艺室110交替和循环引入第一处理材料和第二处理材料的至少一部分期间生成等离子体。等离子体生成系统可包括耦合到工艺室110的第一功率源150,第一功率源150被配置为将功率耦合到工艺室110中的第一处理材料或第二处理材料或这两者。第一功率源150可以是可变的,并且可包括射频(RF)发生器154和阻抗匹配网络156,并且还包括诸如气体注入组件180之类的电极,RF功率通过该电极耦合到工艺室110中的等离子体。电极形成在上部组件130中,并且经由绝缘组件188与工艺室110绝缘,并且其可以被配置为与衬底夹持器120相对。RF频率可以例如从约100kHz到约100MHz。或者,RF频率可以例如从约400kHz到约60MHz。作为又一示例,RF频率可以例如约为27.12MHz。
可选地,等离子体生成系统包括上部组件130中的第一电极和位于上部组件130的外围的第二电极130A,如图2B的沉积系统101’中所示。在实施例中,第二电极130A被放置在衬底125的外边缘的外部。电极130A还可包括被配置为注入等离子体生成气体的气体注入组件。功率可以从第一功率源150或者从未在图2B中示出的独立功率源耦合到第二电极130A。在电极130A从功率源150A得到功率的情况下,功率划分器网络可以用于允许在电极130A上提供的功率与在上部组件130的电极上提供的功率在诸如相位、频率、功率水平等特性上有所不同。向电极130A提供功率的功率源可以是相对于功率源150所描述的配置中的任何一种,或者可以使用其他合适的配置。例如,电极130A可包括耦合到射频(RF)功率的环形电极、单圈线圈或螺旋形线圈。例如,一种这样的设备在题为“Plasma Processing System with Locally-Efficient Inductive PlasmaCoupling”的未决美国专利申请No.10/717,268(律师案卷号No.USP03Z003)中有所描述。功率供应的一般频率可以从约0.1MHz到约100MHz。
仍然参考图2A,沉积系统101包括耦合到衬底夹持器120并且被配置为升高并控制衬底125的温度的衬底温度控制系统160。衬底温度控制系统160包括至少一个温度控制元件,包括诸如氮化铝加热器之类的电阻性加热元件。衬底温度控制系统160可以例如被配置为升高并控制高达从约350℃到400℃的衬底温度。或者,衬底温度可以例如从约150℃到350℃。然而,应当理解,衬底温度是基于用于导致在给定衬底的表面上发生特定材料的ALD沉积的期望温度而选择的。因此,该温度可以高于或低于上述温度。
此外,工艺室110还通过导管138耦合到包括真空泵系统134和阀136的压强控制系统132,其中压强控制系统132被配置为可控地将工艺室110抽空到适合于在衬底125上形成薄膜并且适合于第一和第二处理材料的使用的压强。从图2B中可见,沉积系统101’可选地可包括真空泵134A,真空泵134A适合于通过上部组件130中的气体注入喷嘴进行真空泵吸,这将在下面进一步描述。尽管在图1B中示意性地示出,但是真空泵134A可包括例如在真空泵134A中使用的阀和导管。真空泵系统的阀能够选择性的泵吸管线141和143。另外,真空泵134A可以耦合到外围电极130A中的喷嘴以在该电极上提供真空泵特征。
现在参考图3,沉积系统1/1’/101/101’(由图1A、1B/图2A、2B的标号指示)可以被配置为执行根据本发明实施例的等离子体增强原子层沉积(PEALD)工艺。图3是用于根据本发明的示例性实施例的示例性PEALD工艺的时序图。从图中可见,第一处理材料被引入到工艺室10/110第一时间段310,以引起在衬底25/125的暴露表面上的膜前驱体(第一处理材料)的吸附,然后工艺室10/110被净化气体净化第二时间段320。其后,还原剂(第二处理材料)被引入到工艺室10/110第三时间段330,同时功率通过上部组件30/130从第一功率源50/150耦合到还原剂,如340所示。功率到还原剂的耦合对还原剂加热,从而导致还原剂的离子化和/或离解,以便形成与吸附在衬底25/125上的第一前驱体发生化学反应的游离基。当衬底25/125被加热到升高的温度时,表面化学反应促进了期望膜的形成。工艺室10/110被净化气体净化第四时间段。第一和第二处理材料的引入以及等离子体的形成可以重复任意次数以在衬底上产生期望厚度的膜。
尽管图3示出了第一处理材料的离散脉冲,但是第一处理材料也可以是例如在载气上的连续的流,其中这种连续流不会导致在沉积在衬底表面上之前与第二处理材料发生不希望发生的反应。尽管图3示出了仅仅在还原气体时段期间的等离子体生成,但是等离子体也可以在第一处理材料时段期间生成以促进第一处理材料到衬底表面的吸附。而且,尽管第二处理材料时间段330和等离子体时间段340在图3中示为精确地彼此对应,但是这些时间段仅仅交叠对于本发明的目的来说就已经足够,这将是本领域技术人员所理解的。
如在上面的相关技术部分中所讨论的,一种对ALD工艺的广泛接受的阻碍是这种工艺相对较低的沉积速率。具体而言,传统的ALD工艺一般需要约15-20秒的周期来沉积单层材料,其中还原反应一般花费约10秒的周期时间。本发明的发明人已经研究了传统ALD工艺的工艺参数从而试图减少该沉积时间(或提高沉积速率)。结果,本发明的发明人已确定,传统的600W或更小的等离子体功率可以被增大以加快还原反应时间。例如,在利用五氯化钽作为第一处理材料、氢作为第二处理材料来执行例如图3中所描述的PEALD工艺以制备薄的保形含钽膜时,约1000W的功率耦合到氢还原剂。利用这一功率水平,在约5秒内实现了还原反应到饱和的完成,而不是600W等离子体功率的工艺一般所需的约10秒。
例如,在表1中提供了用于示例性PEALD工艺的工艺参数,该示例性PEALD工艺用于利用五氯化钽作为第一处理材料、利用氢作为在还原步骤期间的第二处理材料来形成钽(Ta)薄膜。
  TaCl<sub>5</sub>(℃)   载气Ar(sccm)   H<sub>2</sub>(sccm)   Ar(sccm)   时间(秒)   功率(W)   P(Torr)
 TaCl<sub>5</sub>   140   20   0   500   3   0
 净化   0   0   2000   0   3   0
 H<sub>2</sub>   0   0   2000   0   对于图4B是10   对于图4A是1000   0.4
 净化   0   0   0   500   3   0
表1
表1提供了从左到右包括如下参数的列:ALD处理步骤、针对被配置为使第一处理材料(TaCl5)升华的蒸发系统设置的温度、经过蒸发系统的Ar的流率(载气Ar,sccm)、在还原步骤期间的氢的流率(H2sccm)、直接耦合到工艺室的Ar的流率(Ar,sccm)、每一步的时间、在每一步期间施加的功率和针对每一步设置的压强。另外,钽膜利用如表1中所述的300个循环形成在二氧化硅(SiO2)衬底上,而衬底的温度被设为约240摄氏度。图4A和4B给出了用于表1中所示的示例性PEALD工艺的工艺数据。
在图4A中,每种工艺参数保持恒定,包括还原步骤期间的功率(即,1000W),而还原步骤的时间从约三(3)秒变化到约十五(15)秒。当功率增大到1000W时,还原步骤的时间可以约为5秒或更长。在随后的持续时间中,膜厚和膜的电阻率随时间的增加变得恒定。
在图4B中,每种工艺参数保持恒定,包括还原步骤的持续时间(即,10秒),而在还原步骤期间施加的功率从约500W变化到约2000W。随着功率的增大,膜厚增大并且膜的电阻率减小。例如,可以形成具有小于约460μΩ-cm的电阻率的钽膜。
因而,本发明的发明人已经发现,增大等离子体功率以超过约600W的传统极限可以提高ALD膜的沉积速率,并改善诸如膜的电阻率之类的膜特性。而且,本发明的发明人已经认识到,在第二处理材料被引入到室中时的还原反应期间,使用这种相对较高的等离子体功率使得副产物从衬底上的第一处理材料层中更完全的释放出来。返回以上示例,在五氯化钽被首先吸附到衬底表面上的情况下,在约1000W下生成的氢等离子体相比于在600W下生成的等离子体将从五氯化钽层释放出更多的氯。例如,图4C示出了随着还原步骤期间施加的功率从约500W增大到约2000W,上述PEALD工艺的钽膜的氯含量的减小。因而,功率的增大提供了具有减少的化学副产物杂质量的膜,这导致诸如电阻率或介电常数之类的膜特性的改善。例如,可以形成具有小于0.95原子百分比(at.%)的氯含量的钽膜。
例如,一种对于在较高等离子体功率下还原反应时间减少的解释是增大的功率在等离子体中提供了较高密度的游离基,例如氢等离子体中的H+,其可以与衬底表面上的第一前驱体反应。更多游离基的获得提供了还原反应中更短的饱和时间。
此外,例如,根据另一种解释,表面上的还原可能取决于表面温度,因而,根据Arrhenius关系,即 R &cong; R 0 exp ( - E activation / k T surface ) , 还原过程应当取决于温度。已知的是,等离子体产生了比电中性气体环境中的活化能明显更低的活化能。活化能减小的机制是由离子-中性物质相互作用,而不是中性物质-中性物质相互作用引起的。由于明显的活化能的减小,及时生成了更多的反应产物,或者饱和发生得更快速。
例如,一种解释是等离子体功率的增大生成了更大的活化能的减小,而较小的等离子体功率生成了较小的活化能的减小或者零改变。假定对于第一等离子体功率(P1),在时间间隔(Δt)中由氢游离基(H·)从五氯化钽(TaCl5)中释放出的氯(Cl)的量正比于反应物密度和与温度有Arrhenius关系的速率常数,即
&Delta; n Cl ( P 1 ) = R 0 ( P 1 ) &times; n H ( P 1 ) &times; n TaC l 5 &times; &Delta;t
在第二等离子体功率(P2)下,例如(P2>P1),释放出的(Cl)的量正比于 &Delta; n Cl ( P 2 ) = R 0 ( P 2 ) &times; n H ( P 2 ) &times; n TaC l 5 &times; &Delta;t .
基于在(P2>P1)下 ( E 2 A < E 1 A ) 的假定,并且考虑 ( E 2 A = &alpha;E 1 A ) , 其中(α≤1),可以按一种形式重写这两个关系(考虑相同的时间间隔):
&Delta; n Cl ( P 1,2 ) = R 0 exp ( - E 1,2 A / k T 1,2 ) &times; n H ( P 1,2 ) &times; n TaC l 5 &times; &Delta;t
现在这两种情况下释放出的(Cl)密度的比率变为
&Delta; n Cl ( P 2 ) &Delta; n Cl ( P 1 ) = R 0 exp ( - E 2 A / k T 2 ) n H ( P 2 ) n Ta Cl 5 &Delta;t R 0 exp ( - E 1 A / k T 1 ) n H ( P 1 ) n TaC l 5 &Delta;t
例如,
&Delta; n Cl ( P 2 ) &Delta; n Cl ( P 1 ) = n H ( P 2 ) n H ( P 1 ) exp ( - E 2 A T 1 - E 1 A T 2 k T 1 T 2 ) = n H ( P 2 ) n H ( P 1 ) exp ( E 1 A k T 1 T 2 - &alpha; T 1 T 2 )
将最后一个关系展开为Taylor级数展开,得到
&Delta; n Cl ( P 2 ) &Delta;n Cl ( P 1 ) &cong; n H ( P 2 ) n H ( P 1 ) [ 1 + E 1 A k T 1 T 2 - &alpha; T 1 T 2 + 1 2 ( E 1 A k T 1 T 2 - &alpha; T 1 T 2 ) 2 + &CenterDot; &CenterDot; &CenterDot; ]
比率 k 1 &equiv; n H ( P 2 ) n H ( P 1 ) 总是大于1,假定氢游离基随着等离子体功率是单调增大,例如k1≥1。忽略无穷级数加和中的高阶项,只留下前两项,
1 + E 1 A k T 1 T 2 - &alpha; T 1 T 2 + &CenterDot; &CenterDot; &CenterDot;
可以看出,对于(0<α≤1)的任何值,总有 T 2 - &alpha;T 1 T 2 &GreaterEqual; 0 , 因此 E 1 A k T 1 > 0 . 从最后的估计可以得到
Figure C200680009167002013
即在相同时间间隔中在较高功率下氢游离基总是会释放出更大量的氯,例如ΔnCl(P2)≥ΔnCl(P1)。
又例如,根据另一种解释,等离子体与衬底表面的相互作用由于离子轰击而可能对衬底的有效表面温度产生影响。增大的等离子体功率生成电极(例如上部组件30或130中的电极)上的较高Vpp(峰峰电压),这可能导致入射在衬底上的离子具有较高能量。与衬底表面的较高能量碰撞可以生成较高的有效表面温度并加速表面反应。随着时间的继续,局部温度增大,因而饱和发生得更快。
图5示出了根据本发明实施例的ALD工艺的工艺流程图。图5的工艺可以由图1A、1B或2A、2B或任何其他合适的处理系统执行。从图5中可见,该工艺开始于步骤410,这时在工艺室中插入诸如半导体衬底之类的衬底。例如,衬底可以被静电夹紧到衬底夹持器,例如参考图1A、1B和图2A、2B的系统所描述的夹持器25或125。在步骤420中,向工艺室中提供第一处理材料以沉积在衬底上。第一处理材料可以是化学易挥发但是热稳定的材料,其可以以自限制(self-limiting)方式沉积在衬底表面上。这种沉积的特性取决于第一处理材料和被处理的衬底的组分。例如,第一处理材料可以被吸附在衬底表面上。
在步骤430中,在工艺室中提供第二处理材料以提供与沉积的第一处理材料的还原反应,从而在衬底表面上形成期望的膜。本领域技术人员将理解,第一和第二处理材料是根据要沉积在衬底上的期望膜选择的。例如,用于沉积含钽膜的第一和第二处理材料可包括上述钽沉积材料和上述还原剂的任何组合。
在一个示例中,当沉积钽(Ta)、氮化钽或碳氮化钽时,第一处理材料可包括TaF5、TaCl5、TaBr5、TaI5、Ta(CO)5、Ta[N(C2H5CH3)]5(PEMAT)、Ta[N(CH3)2]5(PDMAT)、Ta[N(C2H5)2]5(PDEAT)、Ta(NC(CH3)3)(N(C2H5)2)3(TBTDET)、Ta(NC2H5)(N(C2H5)2)3、Ta(NC(CH3)2C2H5)(N(CH3)2)3或Ta(NC(CH3)3)(N(CH3)2)3,第二处理材料可包括H2、NH3、N2和H2、N2H4、NH(CH3)2或N2H3CH3
在另一个示例中,当沉积钛(Ti)、氮化钛或碳氮化钛时,第一处理材料可包括TiF4、TiCl4、TiBr4、TiI4、Ti[N(C2H5CH3)]4(TEMAT)、Ti[N(CH3)2]4(TDMAT)或Ti[N(C2H5)2]4(TDEAT),第二处理材料可包括H2、NH3、N2和H2、N2H4、NH(CH3)2或N2H3CH3
作为另一个示例,当沉积钨(W)、氮化钨或碳氮化钨时,第一处理材料可包括WF6或W(CO)6,第二处理材料可包括H2、NH3、N2和H2、N2H4、NH(CH3)2或N2H3CH3
在另一个示例中,当沉积钼(Mo)时,第一处理材料可包括六氟化钼(MoF6),第二处理材料可包括H2
当沉积铜时,第一处理材料可包括有机金属化合物和无机化合物,有机金属化合物例如是Cu(TMVS)(hfac),商品名也称为Cupra
Figure C20068000916700221
其可以从作为Air Products and Chemicals Inc.(1969 Palomar Oaks Way,Carlsbad,Calif.92009)的一个单位的Schumacher得到,无机化合物例如是CuCl。第二处理材料可包括H2、O2、N2、NH3或H2O中的至少一种。这里所用的术语“A、B、C...或X中的至少一种”指所列举元素中的任何一种或者所列举元素中多于一种的任何组合。
在另一个示例中,当沉积ZrO2时,第一处理材料可包括Zr(NO3)4或ZrCl4,第二处理材料可包括H2O。
当沉积HfO2时,第一处理材料可包括Hf(OBut)4、Hf(NO3)4或HfCl4,第二处理材料可包括H2O。在另一个示例中,当沉积铪(Hf)时,第一处理材料可包括HfCl4,第二处理材料可包括H2
在另一个示例中,当沉积铌(Nb)时,第一处理材料可包括五氯化铌(NbCl5),第二处理材料可包括H2
在另一个示例中,当沉积锌(Zn)时,第一处理材料可包括二氯化锌(ZnCl2),第二处理材料可包括H2
在另一个示例中,当沉积SiO2时,第一处理材料可包括Si(OC2H5)4、SiH2Cl2、SiCl4或Si(NO3)4,第二处理材料可包括H2O或O2。在另一个示例中,当沉积氮化硅时,第一处理材料可包括SiCl4或SiH2Cl2,第二处理材料可包括NH3或N2和H2。在另一个示例中,当沉积TiN时,第一处理材料可包括硝酸钛(Ti(NO3)),第二处理材料可包括NH3
在另一个示例中,当沉积铝时,第一处理材料可包括氯化铝(Al2Cl6)或三甲基铝(Al(CH3)3),第二处理材料可包括H2。当沉积氮化铝时,第一处理材料可包括三氯化铝或三甲基铝,第二处理材料可包括NH3或N2和H2。在另一个示例中,当沉积氧化铝时,第一处理材料可包括氯化铝或三甲基铝,第二处理材料可包括H2O或O2和H2
在另一个示例中,当沉积GaN时,第一处理材料可包括硝酸镓(Ga(NO3)3)或三甲基镓(Ga(CH3)3),第二处理材料可包括NH3
再次参考图5,在步骤440中,超过600W的电磁功率耦合到工艺室中的第二处理材料以促进衬底上的还原反应。这里所用的“电磁功率”是指RF功率、微波频率功率、光波功率或任何已知的适合于在等离子体工艺室中生成等离子体的功率。在图1A、1B和2A、2B的实施例中,电磁功率可以利用上部组件中的一个或多个电极和衬底电极耦合到工艺室。在步骤440中高功率到第二处理材料(即,还原剂)的耦合加热了还原剂,因而引起还原剂的离子化和/或离解以形成游离基,游离基与吸附在衬底上的第一前驱体发生化学反应以加速还原过程并减少沉积的膜内的杂质,如上所述。在一个实施例中,功率范围从约600W到约1500W。在另一个实施例中,功率约为1000W,然而,实际的等离子体处理功率可以根据诸如要沉积的膜的组分和特性之类的因素而变化。根据本发明的实施例能够以较高的沉积速度和较少的杂质进行膜的ALD沉积的合适的高功率水平可以通过直接实验和/或实验设计(DOE)来确定。其他可调节的工艺参数,例如衬底温度、处理压强、处理气体类型和相对气体流量也可以通过直接实验和/或实验设计(DOE)来确定。
在步骤440完成的还原反应导致一薄层的期望膜被沉积在衬底表面上。例如,还原反应可能导致一薄层的阻挡层、种子层、粘附层、栅极层、金属层、金属氧化物层、金属氮化物层或介电层被沉积在衬底的特征上。一旦还原反应发生,图5的步骤420-440就可以被重复以在衬底上沉积附加的材料层,直到达到期望厚度为止,如图5的工艺流程箭头450所示。
尽管未在图5中示出,但是在一个实施例中,在用于引入第一处理材料和第二处理材料的步骤之间净化气体被引入到工艺室中,如上参考图3所述。即,净化气体可以在第一处理材料之后并在第二处理材料之前被引入,或者净化气体可以在第二处理材料之后并在后续循环的第一处理材料之前被引入。净化气体允许在第二处理材料的引入之前通过真空泵吸将第一处理材料从工艺室中驱逐出去。类似地,在执行多个ALD循环的情况下,净化气体可以在还原反应发生之后被引入,以在第一处理材料的引入之前驱逐出第二处理材料。这种净化确保了还原反应主要在衬底上的第一处理材料的吸附层处发生,而不是在沉积之前在工艺室环境中发生。
除了高等离子体功率水平对ALD膜的沉积的影响以外,本发明的发明人已经考虑到相对较低的等离子体功率对ALD膜的沉积的潜在影响。为此,本发明的发明人已确定,低功率等离子体可以在实际还原反应发生之前去除工艺室和衬底中的残留污染物。具体而言,第一处理材料(膜前驱体)的引入一般导致该材料被吸附在工艺室部件(例如室壁)以及衬底上。另外,先前还原反应的副产物可能存在于工艺室部件上。例如,当如上所述沉积含钽膜时,在衬底和室部件上一般存在来自第一处理材料的残留的氯。
在ALD工艺期间,具体而言在等离子体增强还原反应期间,室部件上的材料可被溅射,并且可能污染沉积的膜,这可能导致膜具有很差的属性。本发明的发明人已经认识到,尽管较高的等离子体功率可能导致较大的沉积速率、较小的膜电阻率和膜中较小的氯含量(对于含氯前驱体),但是其也可以导致膜中其他污染物的出现,这种污染物来自于通过大的离子化的污染物(例如离子化的氯,这与氢等离子体还原步骤中的较小的氢离子相对)对工艺室部件的溅射。例如,当利用氢等离子体在衬底表面上还原TaCl5时,HCl从表面中散发出来,在等离子体存在的情况下,HCl发生离解以形成离子化氯等,这种离子化氯是大离子并且能够溅射工艺室部件。随着等离子体功率的增大,紧邻工艺室部件的鞘电压可能超过工艺室部件的材料组分的溅射阈值。例如,如图1A、1B、2A和2B中所示,上部组件30、130中的电极可以利用抗腐蚀材料制作,例如当使用含氯材料时的镍(具有约143V的溅射阈值电压)。因而,低等离子体功率可以有效地从衬底和工艺室壁释放出污染物,以使得其可以在施加相对较高的功率之前通过真空泵吸被从室中驱逐出去,这种相对较高的功率的施加在促进衬底表面上的较高速率还原反应的同时,可以对室部件进行溅射。
基于以上对利用低和高功率等离子体的益处的认识,本发明的发明人发现,在ALD工艺期间改变等离子体功率水平可以提供减少ALD膜的污染以及提高膜的沉积速率的双重优点。图6示出了根据本发明实施例的ALD工艺的工艺流程图。图6的工艺可以由图1A、1B或2A、2B的处理系统或任何其他合适的处理系统执行。从图6中可见,该工艺开始于步骤510,这时在工艺室中插入诸如半导体衬底之类的衬底。在步骤520中,向工艺室中提供第一处理材料以吸附到衬底表面。在步骤530中,在工艺室中提供第二处理材料以提供与沉积的第一处理材料的还原反应,从而在衬底表面上形成期望的膜,如上所述。本领域技术人员将理解,第一和第二处理材料是根据要沉积在衬底上的期望膜选择的。例如,这里描述的第一和第二处理材料的任何组合都可以应用于图6的工艺。
在图6的步骤540中,第一电磁功率水平耦合到工艺室以生成用于减少工艺室中的污染物的等离子体。第一等离子体功率水平可以与用于生成等离子体的阈值水平一样低,并且优选地不高于被确定为破坏或损伤包括任何沉积在其上的膜的衬底的水平。本领域技术人员将理解,第一功率水平将取决于沉积的材料,以及在ALD工艺期间何时施加第一功率水平。第一功率水平可以在提供第一处理材料、提供第二处理材料和/或提供净化气体的期间耦合到工艺室。如上所述,第一功率水平可以从工艺室和/或衬底中释放出污染物,而不超过工艺室部件的溅射阈值。因而,在一个实施例中,第一功率水平在第二处理材料被引入到工艺室中的同时被施加到工艺室。或者,在另一个实施例中,第一功率水平在净化气体步骤期间被施加到工艺室以生成清洁等离子体,在净化气体步骤中,释放出的污染物可以有效地被从工艺室中真空泵吸出去。尽管未在图6中示出,但是在一个实施例中,净化气体在用于引入第一和第二处理材料的步骤之间和/或在还原反应之后被引入到工艺室,如上参考图3所述。鉴于此,第一和/或第二等离子体功率水平可以在净化气体的引入期间施加。
在步骤550中,高于第一水平的第二功率水平耦合到工艺室以生成用于促进衬底表面上的还原反应的等离子体。因而,第二功率水平应当在第二处理材料的引入期间耦合到工艺室,但是也可以在ALD工艺期间的其他时刻耦合。与第一功率水平一样,第二功率水平很大程度上取决于第一和第二处理材料,以及ALD工艺中施加第二功率水平的时间。在一个实施例中,第二功率水平高于600W以加速还原反应并减少杂质,如上所述。然而,在图6的实施例中,第二功率水平生成用于促进还原反应的等离子体就足够了。一旦还原反应发生,图6的步骤520至550就可以被重复以在衬底上沉积附加的材料层,直到达到期望厚度为止,如图6的工艺流程箭头560所示。
图7A和7B示出了描绘根据本发明实施例耦合到工艺室的功率的功率水平变化的功率图,这种耦合到工艺室的功率是为了生成清洁和还原反应等离子体。如图7A中的功率曲线610所示,等离子体功率可以按多个离散水平(示出两个)施加到工艺室。具体而言,第一功率水平620可以施加用来从衬底和工艺室部件去除污染物,以使得这种污染物可以被从工艺室中驱逐出去,如上所述。同样,如上所述,第一功率水平可以与用于等离子体生成的阈值水平一样低,或者高至600W,第二功率水平630优选地高于600W,更优选地约为1000W或更大,以加速还原过程并减少污染物。在一个示例中,第一功率水平不高于室内的室部件的溅射阈值,如上所述。从图7B中可见,等离子体功率水平可以按连续改变的方式(由功率曲线650代表)被施加到工艺室。
本领域技术人员将理解,图7A和7B的功率曲线是示例性的,并且变化的功率可能取决于要通过ALD工艺沉积的膜的组分和特性。例如,图7A的等离子体功率可包括多于两(2)个的离散的功率水平,并且图7B的等离子体功率可以按非线性方式改变。而且,阶跃功率和渐变功率的组合可以用于提供图6的步骤540和550的第一和第二功率水平。另外,根据本发明的实施例能够以较高的沉积速度和较少的杂质进行膜的ALD沉积的合适的高功率水平可以通过直接实验和/或实验设计(DOE)来确定。其他可调节的工艺参数,例如衬底温度、处理压强、处理气体类型和相对气体流量也可以通过直接实验和/或实验设计(DOE)来确定。
如上所述,例如图7A和7B的曲线610和650所示的变化的等离子体功率可以仅仅在第二处理材料的引入期间被施加到工艺室,或者可以在整个ALD循环期间被施加到工艺室,只要相对较高的功率水平被施加到第二处理材料以促进还原反应即可。例如,在仅在第二处理材料的引入期间施加变化的功率的情况下,初始低功率水平可以从衬底和工艺室壁释放出杂质,而不提供足够的等离子体密度以显著促进衬底表面处的还原反应。随着功率如图7A所示的阶跃式增大,或者如图7B所示的连续改变,等离子体游离基促进已通过初始低功率清洁的环境中的还原反应。
在另一个实施例中,变化的功率可以在ALD循环中的其他步骤期间发生并起双重作用。例如,第一功率水平可以在第一处理材料的引入期间施加,以辅助将第一材料吸附到衬底表面,同时还工作来从工艺室中释放出污染物。第二功率水平也可以在第二处理材料的引入和/或净化气体步骤期间施加以减少污染物。最终,等离子体功率水平在第二处理材料的引入期间增大到高于600W,以加速还原过程并减少沉积的层中的污染物,如上所述。
如上所述,在本发明的一个实施例中,惰性净化气体可以在ALD工艺期间被引入到工艺室中。具体而言,如图3所示,净化气体可以在第一和第二处理材料的引入之间被引入到工艺室中,并且还可以在ALD循环结束时在第二处理材料的引入之后被引入到工艺室中。该惰性净化气体起到将第一和第二处理材料分离以在衬底表面上的沉积之前减少室环境中的化学反应的作用,并且还辅助驱逐从工艺室壁和/或衬底表面去除的污染物。在本发明的另一个实施例中,可以执行反应性气体净化以进一步辅助去除污染物。
图8示出了根据本发明实施例的ALD工艺的工艺流程图。图8的工艺可以由图1A、1B或2A、2B的处理系统或任何其他合适的处理系统执行。从图8中可见,该工艺开始于步骤710,这时在工艺室中插入衬底。在步骤720中,向工艺室中提供第一处理材料以吸附到衬底表面,如上所述。在步骤730中,在工艺室中提供第二处理材料以提供与沉积的第一处理材料的还原反应,从而在衬底表面上形成期望的膜。与其他实施例所述的一样,第一和第二处理材料是根据要沉积在衬底上的期望膜选择的,并且这里所描述的第一和第二处理材料的任何组合都可以应用于图8的工艺。
在步骤740中,在第二处理材料的引入期间,通过将电磁功率耦合到工艺室在工艺室中生成等离子体。在步骤740中耦合到室的功率水平优选地高于600W,并且例如可以约为1000W以加速还原反应并减少污染物,如上所述。而且,变化的功率可以耦合到工艺室以进一步减少污染物,如在上面的图6和7中所描述的。然而,在图8的实施例中,在步骤740中提供生成等离子体所必需的功率就足够了,以辅助衬底的还原反应。
在步骤750中,反应性清洁气体被引入到工艺室中。与参考图3所讨论的惰性净化气体步骤不同,反应性清洁气体与工艺室壁和/或衬底表面上的污染物发生化学反应,以辅助从工艺室去除这些杂质。本领域技术人员将理解,反应性气体的组分很大程度上取决于ALD工艺,具体而言,取决于要从工艺室去除的污染物。即,在步骤750中,反应性气体被选择为与要从工艺室去除的污染物发生反应。再次返回沉积钽膜的示例,利用五氯化钽作为第一处理材料、氢作为第二处理材料(即,还原反应),氯污染物可能位于处理壁上和沉积的膜自身内。为了去除这些氯污染物,可以引入氨气(NH3)以与氯污染物发生化学反应并将其从壁和/或衬底释放出去,以使得可以通过真空泵吸将污染物从室中驱逐出去。一旦净化步骤750完成,处理步骤720至750就可以被重复以获得期望的厚度,如箭头760所示。
在另一个实施例中,可以对工艺室壁加热以促进去除污染物的化学反应。例如,当如上所述减少氯污染物时,室壁被加热到至少80摄氏度。在某些情况下,还可以生成等离子体以促进化学清洁反应。然而,这种等离子体应当不会在衬底表面上引起不希望发生的反应。一旦净化步骤750完成,处理步骤720至750就可以被重复以获得期望的膜厚,如处理箭头760所示。尽管图8列出了反应性气体净化步骤750在步骤740中的还原反应发生之后发生,但是反应性气体净化也可以在第一和第二处理材料的引入之间进行,如图3所示。然而,与图3中所示的惰性气体净化步骤不同的是,反应性气体与工艺室壁和/或衬底上的污染物发生化学反应,以辅助从工艺室去除污染物。由于额外步骤的插入,驱逐出反应性处理气体和污染物的动作可以在每个ALD循环仅包括单个反应性净化步骤,如图8所示。或者,反应性净化气体步骤可以只是间歇地进行,例如每隔一个循环进行,或每第三个循环进行。鉴于此,反应净化气体步骤可以与图3中所述的惰性净化步骤组合进行。
在本发明的另一个实施例中,影响ALD工艺的污染物可以通过将污染物从衬底区域吸引到工艺室的外围区域而减少。具体而言,在衬底区域内等离子体的生成使可能对沉积在衬底上的膜具有有害影响的污染物离子化。例如,当如上所述沉积含钽材料时,工艺室中的氯污染物通过施加等离子体功率而离子化。这样,本发明的发明人发现,在工艺室的外围区域中生成单独的等离子体可以产生导致带电材料的输运的电位差,这种带电材料的输运将离子化的污染物从衬底区域移动到工艺室的外围区域。被吸引的污染物随后或者被粘附到工艺室壁,或者通过真空泵吸被从工艺室中驱逐出去,从而减少了污染物对沉积的膜的影响。
如上所述,当利用五氯化钽作为膜前驱体(第一处理材料)、氢作为还原剂(第二处理材料)来沉积钽膜时,HCl作为表面还原反应的产物从膜中释放出来。在等离子体存在的情况下HCl发生离解,并且可以形成氯离子(Cl-)。在电负性(Cl)等离子体中,在等离子体功率切断后电负性等离子体(一般是氯)的衰减使得电子由于其高迁移率而快速衰减。在弱电负性等离子体中,负离子将逐渐在衬底区(A)(见图9A)内衰减,并且衬底区(A)将通过保留正离子而在很短的一段时间(微秒)内维持正电荷。在较强的电负性等离子体中,负离子相对于电子会衰减较长的时间,这是由于其迁移(在较高压强下重组合)到最近的表面的扩散特性造成的。因为在衬底区(A)中,最近的表面是衬底表面(图1A、1B、2A或2B中的25或125)或上部组件(图1A、1B、2A或2B中的30或130)中的电极,所以离子到达这些表面所花费的时间比它们到达工艺室的侧壁所花费的时间短。
换句话说,在等离子体衰减期间,有两个阶段:(1)在第一阶段中,负离子到壁的通量不存在,并且电子密度随时间急剧衰减,从而几乎所有的电子都在有限的时间内从形成离子-离子(无电子)等离子体的放电体积中逃逸,以及(2)在第二阶段中,该等离子体通过离子-离子双极扩散机制衰减。为了提供从衬底区(A)向诸如工艺室壁(并最终到达泵吸喷嘴)之类的外围区(B)(见图9A)的输运,根据本发明的实施例可以产生彼此交界的两个等离子体区域。第一等离子体区域基本与衬底区(A)一致,第二等离子体区域围绕第一等离子体区域,并基本与外围区(B)一致,因而,产生了很大的交界表面。
例如,这两个等离子体区域都可以通过交叠时序序列的方式生成等离子体来提供功率。当等离子体处于衬底区(A)上时,氯(更一般地说,反应性产物)的物理吸附并不在衬底区(A)内发生。在衬底区(A)内关闭等离子体之前,外围区(B)中的等离子体被引发。一旦在外围区(B)中引发了等离子体,衬底区(A)中的等离子体就消失了,并且离子被从衬底区(A)输运到外围区(B),在外围区(B)有较高的可能性将其泵出。这一循环可以在主处理步骤之间重复应用于分别在衬底区(A)和外围区(B)中的上部组件30中的电极和图1B中的电极30A,或者应用于分别在衬底区(A)和外围区(B)中的上部组件130中的电极和图2B中的电极130A,以将残留污染物输运出衬底表面。例如,图9B和9C图示了两种示例性的时序序列。
如前所述,图1B和2B示出了具有可选的外围等离子体电极的沉积系统,外围等离子体电极用于生成等离子体以将离子化的污染物吸引到工艺室的外围区(B)。具体而言,图1B示出了具有第一电极的上部组件30,第一电极被定位为基本在衬底25的区域(即,图9A的衬底区(A))中生成处理等离子体。另外,外围电极30A位于上部组件30的外围周围,并且其被配置为在图9B的外围区(B)中生成次级等离子体。类似地,图2B示出了基本在衬底区域(即,衬底区(A))中生成第一等离子体的上部组件130以及位于上部组件130的外围周围的外围电极130A,外围电极130A被配置为在外围区(B)中生成次级等离子体。如图1B和2B所示,外围电极30A和130A分别位于衬底25和125的外围外部,以将污染物吸引衬底的外边缘外部。另外,外围电极可包括耦合到真空泵系统的气体注入喷嘴,这将在下面进一步描述。
衬底区(A)中的第一等离子体区域可以由PEALD工艺采用的等离子体源来形成,例如图1A中的上部组件(电极)30或图2A中的上部组件(电极)130。次级等离子体源利用例如分别在图1B和2B中所示的外围电极30A或130A基本在工艺室的周边创建。外围电极30A和130A可以如上所述,或者它们可包括模仿工艺室壁的柱状电极,或者它们可包括在工艺室的顶部、底部或顶部和底部两者的环形平面电极(可以使用单个或两个电极)。例如,图10A、10B、10C和10D图示了用于外围电极30A和130A的电极配置。
在尺寸上次级等离子体电极(30A、130A)可以与工艺室的尺寸近似重合,并且具有与衬底边缘一致的最小尺寸。因为该电极辅助将等离子体从衬底区(A)输运到外围区(B),所以必须提供对于气体流量足够的横截面以便不限制泵吸速度。电极几何形状的示例在图10A至10D中示出。如图10A所示,外围电极组件1300包括围绕衬底1325的外边缘的第一电极1330。该电极包括被配置为允许处理气体从其通过的喷嘴1332。在图10B中,示出了包括具有喷嘴1342的第二电极1340的外围电极组件1300’。在图10C中,示出了包括具有喷嘴1352的第三电极1350的外围电极组件1300”,并且在图10D中,示出了与第一电极1330相组合的包括第三电极1350的外围电极组件1300”’。
每个电极可以由诸如射频(RF)功率发生器之类的外部功率源通过匹配网络以范围从100kHz到100MHz的频率加以偏置。例如,在衬底区(A)中的第一功率源(即,分别是图1A和2B中的电极30或130)的操作期间,可以使用脉冲直流(DC)信号(正或负极性的,这取决于残留气体的电极性),以辅助从衬底区(A)的残留物质的准连续去除。该电极可以用合适的金属制作,这种金属在反应性环境中是不被腐蚀的。例如,在RF的施加期间,它们可以涂覆有合适的、高化学稳定性的陶瓷材料。
或者,次级等离子体源可包括电感耦合器件以向外围区(B)提供电磁功率,诸如那些在例如题为“Plasma Processing System with Locally-Efficient Inductive Plasma Coupling”的未决美国专利申请No.10/717,268(律师案卷号No.USP03Z003)中描述的电感器件。
电感器件的其他示例包括在图11A、11B和11C、11D中示出的电感器件。如图11A和11B所示,外围电感电极组件1400包括围绕衬底1425的外边缘的第一电感电极1430。电极1430包括被配置为允许处理气体从其通过的喷嘴1432。在图11C和11D中,示出了具有喷嘴1442的第二电极1440。功率供应的典型频率可以从约0.1MHz到约100MHz。
图12示出了根据本发明实施例的ALD工艺的工艺流程图。图12的工艺可以由图1B或2B的处理系统或任何其他合适的处理系统执行。从图12中可见,该工艺开始于步骤810,这时在工艺室中插入衬底。在步骤820中,向工艺室中提供第一处理材料以吸附到衬底表面,并且在步骤830中,在工艺室中提供第二处理材料以提供与沉积的第一处理材料的还原反应,从而在衬底表面上形成期望的膜。如前述实施例所述,第一和第二处理材料是根据要沉积在衬底上的期望膜选择的。例如,这里所描述的第一和第二处理材料的任何组合都可以应用于图12的工艺。
在步骤840中,电磁功率在第二处理材料的引入期间耦合到工艺室以促进还原反应,如上所述。在图12的实施例中,步骤840的功率通过基本在衬底的区域(即,衬底区(A))中的电极耦合到工艺室。在图1B和2B的实施例中,该电极可以是上部组件电极和衬底夹持器电极中的至少一个。在步骤840期间耦合到工艺室的功率优选地高于600W,并且例如可以约为1000W以加速还原反应并减少污染物,如上所述。而且,变化的功率可以耦合到工艺室以进一步减少污染物,如上所述。然而,在步骤840期间耦合的功率可以是足以维持促进还原反应的等离子体的任何功率。
在步骤850中,功率耦合到工艺室以生成用于离子化衬底的区域中的污染物的等离子体,如上所述。在一个实施例中,离子化污染物的步骤850是作为在步骤840中生成还原反应的结果执行的。即,在步骤840中生成等离子体的工艺可以自然地离子化衬底区域中的污染物,从而同时执行步骤850。然而,在可替换实施例中,用于离子化污染物的处理步骤可以独立于还原反应步骤而执行。例如,诸如等离子体功率、室环境和室压强之类的工艺条件可以相对于还原等离子体步骤进行调节以提供对污染物的理想的离子化。
在步骤860中,功率耦合到外围电极,例如分别是图1B和2B的电极30A或电极130A,以在工艺室的外围区(B)中生成等离子体。然而,外围等离子体具有不同于衬底区域等离子体(即,衬底区(A))的特性,以生成从衬底区(A)吸引离子化污染物的电位差,如上所述。例如,施加到外围电极的功率的频率、相位或功率水平中的至少一个可以不同于施加到上部组件中的电极(处理电极)的功率,以实现期望的等离子体特性从而提供电位差。在另一个实施例中,外围等离子体特性可以通过从外围电极注入气体以改变外围区域中的等离子体组分而加以改变。
例如,等离子体电位可以根据与等离子体边界交界的大部分表面积处的最高(正)电位来建立。因为PEALD系统中电偏置的表面是衬底电极(图1A和2A中的20/120)和/或上部电极(图1A和2A中的30/130),所以外围电极/器件的最小尺寸是:对于单平面电极(例如见图10A)Rmin>(1.4-1.6)Rwafer,或者对于双平面电极(例如见图10B)Rmin>(1.2-1.4)Rwafer,或者对于柱状电极(例如见图10C)Rmin>Rwafer并且dmin>Rwafer/2。在这些不等式中,Rmin是外围电极的内部部分的半径,Rwafer是晶片的半径,dmin是柱状电极的高度。在一个实施例中,柱状电极的高度被选择为使得电极的面积近似等于衬底的面积,但是这不是必需的。当在衬底区(A)中操作等离子体时,在衬底区(A)中将建立等离子体电位VA(主要由衬底面积确定)。其后,在外围区(B)中引发外围等离子体(在时间上与衬底区(A)中的等离子体间隔的部分交叠)将增大衬底区(A)中的等离子体电位,这是由于衬底区(A)和外围区(B)之间的界面处的外围等离子体引起的电子损耗补偿。通过关闭(衬底区(A)中的)初级等离子体,衬底区(A)中的等离子体电位将减小,或者甚至完全衰减,只留下存在于外围区(B)中的等离子体电位VB(由次级电极面积确定)。因为衬底区(A)和外围区(B)中的等离子体在体积和边界面积尺寸上都不同,所以在可比拟的或相等的功率下,等离子体电位也不同。通过实验可以建立外围区(B)中的适当的等离子体电位以最大化从系统的残留气体去除。
外围等离子体生成步骤860至少部分与衬底区域等离子体生成步骤850交叠(如上所述;见图9B和9C)以产生电位差,如上所述。因而,在离子化污染物是来自于还原等离子体的实施例中,第二处理材料在步骤830中被引入,同时功率被施加到衬底区域等离子体电极(在衬底区(A)中)以执行步骤840和850。一旦等离子体辅助还原反应和污染物的离子化在步骤840和850中开始,在步骤860中就生成外围等离子体(在外围区(B)中)以吸引离子化污染物远离衬底区(A),如上所述。在还原反应发生后,到处理电极和外围电极的功率随后可以被同时切断,或者衬底区(A)中的等离子体可以首先被关闭,而外围等离子体(在外围区(B)中)被维持以吸引残留的离子化污染物。在离子化污染物被从工艺室中驱逐出去之后,处理步骤820至860可以被重复以获得期望的膜厚,如处理箭头870所示。
如上所述,衬底区(A)和外围区(B)中的等离子体可以与用于还原反应的等离子体生成步骤840独立地生成。另外,尽管未在图12中示出,但是与前述实施例一样,净化气体可以在用于引入第一处理材料和第二处理材料的步骤之间被引入到工艺室,也可以在还原反应之后被引入到工艺室,如参考图3所讨论的。净化气体步骤可以使用惰性气体和/或反应性气体。因而,衬底和外围等离子体可以在第一处理材料的引入期间、在净化气体的引入期间、或者在ALD工艺中的额外等离子体生成步骤期间在步骤850和860中生成,以吸引污染物远离衬底区(A)。另外,应当理解,步骤850和860不需要针对每个ALD循环执行,而是可以以若干循环间歇执行。
在本发明的又一个实施例中,影响ALD工艺的污染物可以通过经由气体注入组件的气体注入喷嘴对室进行真空泵吸而从工艺室中去除。具体而言,本发明的发明人已经认识到,在处理系统内等离子体的生成期间,施加到气体注入组件的功率使得多个气体注入喷嘴充当吸引包括离子化污染物在内的等离子体物质的“中空阳极(hollow anode)”。例如,当如上所述沉积含钽材料时,工艺室中的氯污染物可以被离子化并被吸引到气体注入组件的中空阳极。本发明的发明人发现,在等离子体生成期间对多个喷嘴进行真空泵吸可以减少工艺室内的污染物,从而减少污染物对沉积的膜的影响。
如前所述,图1B和2B示出了具有可选的真空泵的处理系统,真空泵用于通过气体注入组件从工艺室泵吸污染物。具体而言,图1B示出了耦合到上部组件30的真空泵34A,上部组件30也可以用作用于第一处理材料供应系统40、第二处理材料供应系统42或净化气体供应系统44中的至少一个的气体注入组件。尽管未在图1B中示出,但是诸如侧壁注入阀之类的单独的气体注入系统也以本领域技术人员公知的方式被包括在工艺室10中。在工艺室10中等离子体的生成期间,来自第一功率源50的功率被施加到具有多个气体注入喷嘴的上部组件30,而真空泵34A被用于通过气体注入喷嘴从工艺室泵吸离子化污染物,这种离子化污染物通过中空阳极效应被吸引到喷嘴。
在图2B所示的实施例中,上部组件130中的气体注入组件180可包括用于相应材料的多组喷嘴。具体而言,在气体注入组件180中,第一处理材料经由形成在第二注入板184和第三注入板186之间的第一空间190通过第二注入板184中的第一通孔阵列194和第一注入板182中的第一喷嘴阵列195从第一处理材料供应系统140耦合到工艺室110。第二处理材料或净化气体或这两者经由形成在第二注入板184中的第二空间192通过第一注入板182中的第二喷嘴阵列197从第二处理材料供应系统142或净化气体供应系统144耦合到工艺室110。从图2B中还可见,第一材料管线141和第二材料管线143可以耦合到真空泵134A,从而允许通过第一喷嘴阵列或第二喷嘴阵列或这两者对工艺室110进行真空泵吸。工艺室110还可包括侧壁注入阀。在工艺室110中的等离子体的生成期间,真空泵134A被用于泵吸被吸引到喷嘴的离子化污染物。
图13示出了根据本发明实施例的ALD工艺的工艺流程图。图13的工艺可以由图1B或2B的处理系统或任何其他合适的处理系统执行。从图13中可见,该工艺开始于步骤910,这时在工艺室中插入衬底。在步骤920中,向工艺室中提供第一处理材料以吸附到衬底表面,并且在步骤930中,在工艺室中提供第二处理材料以提供还原反应。第一和/或第二处理材料可以通过气体注入电极中的多个喷嘴被引入到工艺室,气体注入电极也可以用于生成等离子体并泵吸工艺室,如下面进一步讨论的。而且,与这里描述的前述实施例一样,第一和第二处理材料是根据要沉积在衬底上的期望膜选择的,并且这里描述的第一和第二处理材料的任何组合都可以应用于图13的工艺。
在步骤940中,电磁功率在第二处理材料的引入期间耦合到工艺室以促进还原反应。在图13的实施例中,用于生成还原反应等离子体的功率通过具有多个气体注入喷嘴的气体注入系统耦合到工艺室,如在图1B和2B中所描述的。在步骤940期间耦合到工艺室的功率优选地高于600W,并且例如可以约为1000W以加速还原反应并减少污染物,如上所述。然而,在步骤940期间耦合的功率可以是足以维持辅助还原反应的等离子体的任何功率。
在步骤950中,功率被施加到气体注入电极以生成用于离子化工艺室中的污染物的等离子体。施加到气体注入电极的功率使电极偏置,以使得气体注入喷嘴还充当步骤950中的中空阳极以吸引离子化污染物,如上所述。在一个实施例中,离子化污染物的步骤950是作为在步骤940中生成还原反应等离子体的结果执行的。即,在步骤940中向气体注入电极施加功率以生成还原反应等离子体的工艺可以自然地离子化污染物并产生中空阳极,从而同时执行步骤950。然而,在可替换实施例中,用于离子化并吸引污染物的处理步骤可以与还原反应步骤独立地执行。
在步骤960中,离子化污染物通过气体注入电极中的多个喷嘴被从工艺室中真空泵吸出去。真空泵吸步骤960至少部分与等离子体生成步骤950交叠以驱逐出离子化污染物,如上所述。因而,在离子化污染物是来自于还原反应等离子体的实施例中,图13的步骤930、940、950和960同时发生。然而,离子化并驱逐污染物的步骤950和960可以与还原反应独立地执行。在离子化污染物被从工艺室中驱逐出去之后,处理步骤920-960可以被重复以获得期望的膜厚,如处理箭头970所示。
尽管未在图13中示出,但是该图的实施例可包括一个或多个净化气体步骤,如图3中所述。而且,如上所述,等离子体和泵吸步骤可以在ALD工艺期间的任何时刻执行以清洁工艺室。因而,用于离子化并吸引污染物的等离子体可以在第一处理材料的引入、第二处理材料的引入、净化气体的引入或者适合于离子化工艺室中的污染物的某种其他材料的引入中的至少一个的期间生成。尽管气体注入电极的气体注入喷嘴优选地被用于执行这些引入步骤中的一个或多个,但是用于离子化等离子体期间的真空泵吸的喷嘴不能也用于引入生成等离子体的处理材料。
例如,在一个实施例中,第一处理材料可以在步骤920中通过气体注入电极上的气体注入喷嘴被引入。然后,在步骤930中,第二处理材料利用单独的气体注入路径被引入,同时在步骤940中功率被施加到气体注入电极以生成还原反应等离子体。可替换的气体注入路径可以例如是图1B中所讨论的侧壁气体注入阀,或者是图2B中所讨论的第二组气体注入喷嘴。在步骤940中生成的还原反应等离子体还用于在步骤950中离子化工艺室中的污染物并将这些污染物吸引到气体注入喷嘴。在步骤930、940和950的等离子体辅助还原反应期间,用于引入第一处理材料的气体注入喷嘴被真空泵吸,以去除由于中空阳极效应已被吸引到喷嘴的离子化污染物。
如上所述,在PEALD处理系统内可以采用各种技术来从处理系统和/或在PEALD系统中处理的衬底上去除诸如氯之类的污染物。然而,本发明的发明人已经认识到,尽管作了这些努力,但是污染物还可能在将衬底从PEALD工艺室转移到单独的用于进一步处理的工艺室(例如互连金属化)的期间沉积在ALD膜上。发明人已观察到,当钽层由PEALD工艺利用五氯化钽作为膜前驱体制备时,在400摄氏度退火后,由约10nm厚的Cu层+约6nm厚的钽层+约6nm厚的氮化钽层(按从上到下的顺序)构成的膜结构的薄膜电阻表现出明显的增大。在该膜结构中,Cu和氮化钽膜是利用离子化PVD(i-PVD)制备的。例如,当钽膜利用i-PVD制备时,薄膜电阻约为8.04欧姆/平方,而当钽膜利用PEALD(如上所述)制备时,薄膜电阻约为145至185欧姆/平方,并且观察到金属(铜)凝聚。
本发明的发明人还认识到,对于通过非等离子体ALD、化学气相沉积(CVD)、等离子体增强化学气相沉积(PECVD)或任何其他沉积工艺沉积的膜,可能发生上述转移污染问题。即,尽管作了减少沉积室自身内的污染的努力,但是污染物还可能在将衬底从沉积工艺室转移到单独的用于进一步处理的工艺室(例如互连金属化)的期间影响所沉积的膜。
因而,尽管作了PEALD或其他沉积室中的清洁努力,但是金属还可能沉积在受污染的ALD或其他沉积的膜上,从而导致终端器件中的操作和可靠性问题。基于这一认识,本发明的发明人已发现,通过在从沉积系统中取出衬底之后执行衬底的等离子体清洁,可以进一步减少衬底上的污染物。
图14示出了根据本发明实施例的衬底工艺的工艺流程图。该工艺开始于步骤1010,这时在沉积室中对衬底进行沉积以沉积膜。例如,衬底可以在这里描述的图1A或2A的系统中进行沉积。在步骤1020中,在沉积工艺室中执行沉积工艺。在一个实施例中,执行步骤1020以在衬底上沉积阻挡层、种子层、粘附层、栅极层、金属层、金属氧化物层、金属氮化物层或介电层中的至少一种。而且,当沉积工艺是PEALD工艺时,可以执行这里描述的用于加速ALD工艺或减少污染的PEALD工艺中的一种或多种,作为图14的步骤1020的一部分。
在沉积工艺完成之后,其上已沉积有ALD膜的衬底被转移到处理室,在处理室执行等离子体清洁,如步骤1030所示。等离子体清洁优选地利用具有低电子温度(小于约1.5eV)和高等离子体密度(>1×1012/cm3)的等离子体执行,其能够对根据本发明的沉积层进行基本无损伤的清洁。这种工艺参数产生了“软等离子体”,这种软等离子体有效地减少了衬底表面(即,诸如ALD膜表面之类的沉积膜)上的污染物,而基本上不会损伤沉积的膜。在步骤1040中,对衬底执行进一步处理。例如,步骤1040可包括在沉积膜上的互连金属化沉积。
在本发明的一个实施例中,等离子体清洁步骤1030是在指定处理室中执行的,并且随后被转移到额外的工艺室以执行处理步骤1040。例如,处理室包括缝隙平面天线(SPA)等离子体源,这将在下面描述。
在另一个实施例中,等离子体清洁步骤1030与处理步骤1040是在相同室中执行的。例如,在处理步骤1040是在离子化物理气相沉积(i-PVD)室中执行的金属化步骤的情况下,等离子体清洁步骤1030可以在沉积金属之前在i-PVD室中执行。具体而言,i-PVD工艺可以由用于从环形磁体溅射标靶溅射导电金属涂层材料的装置提供。溅射可以通过向标靶施加DC功率来完成,并且通过在标靶和衬底之间的处理空间中生成密集的等离子体,溅射的材料在该空间中被离子化。离子化的溅射材料随后通过向衬底施加偏置被吸引到衬底表面。在等离子体清洁步骤是在i-PVD室中执行的情况下,其上沉积有膜的衬底首先在i-PVD室中被暴露于诸如氩气之类的惰性气体。功率被耦合到i-PVD室以加热惰性气体并生成用于减少衬底表面上的污染物的等离子体,如上所述。在衬底的等离子体清洁处理期间,没有功率耦合到金属标靶,并且衬底偏置功率的使用是可选的。一旦清洁步骤完成,就施加到金属标靶的DC功率和衬底偏置功率以执行i-PVD金属化工艺。本发明人已观察到,当钽层是由PEALD工艺利用五氯化钽作为膜前驱体制备的并且执行等离子体清洁时,在400℃退火后,由约10nm厚的Cu层+约6nm厚的钽层+约6nm厚的氮化钽层(按从上到下的顺序)构成的膜结构的薄膜电阻不表现出增大。另外,没有观察到铜的凝聚。
图15是根据本发明实施例的用于处理衬底的处理工具的简化框图。处理工具1100包含衬底加载室1110和1120、处理系统1130-1160、用于在处理工具1100内转移衬底的机械转移系统1170以及用于控制处理工具1100的控制器1180。在一个示例中,处理系统1130可以用于预处理(例如清洁)衬底,处理系统1140可以用于执行沉积工艺,例如ALD工艺、PEALD工艺、CVD工艺、PECVD工艺或任何其他膜沉积工艺。例如,处理系统1140可以实现为图1或2的系统以执行这里描述的PEALD工艺中的一种或多种。
在图15的实施例中,处理系统1150是如上所述用于互连金属化沉积的i-PVD室。处理系统1160是具有诸如SPA等离子体源(如所讨论的)之类的等离子体源的指定处理室。处理工具1100可以由控制器1180控制。控制器1180可以耦合到衬底加载室1110和1120、处理系统1130-1160和机械转移系统1170并与之交换信息。
图16是包含缝隙平面天线(SPA)等离子体源的等离子体处理系统的简化框图,该等离子体源用于生成用于减少诸如ALD层之类的沉积膜上的污染物的等离子体。在等离子体处理系统1200中产生的等离子体具有低电子温度(小于约1.5eV)和高等离子体密度(>1×1012/cm3),并且能够对根据本发明的ALD层进行基本无损伤的清洁。等离子体处理系统1200可以例如是可从Japan,Akasaka的Tokyo Electron Limited购得的TRIASTM SPA处理系统。等离子体处理系统1200包含工艺室1250,工艺室1250的上部部分中有大于衬底1258的开口部分1251。由石英、氧化铝、硅或氮化铝制成的柱状顶板1254被提供用来覆盖开口部分1251。气体管线1272位于工艺室1250的顶板1254下方的上部部分的侧壁中。在一个示例中,气体管线1272的数目可以是16(在图16中只示出了两根)。或者,可以使用不同数目的气体馈送管线1272。气体管线1272可以呈圆周状布置在工艺室1250中,但是这不是本发明必需的。处理气体可以被从气体管线1272均匀地、一致地提供到工艺室1250中的等离子体区域1259内。
在等离子体处理系统1200中,微波功率经由具有多个缝隙1260A的平面天线构件1260通过顶板1254被提供给工艺室1250。缝隙平面天线1260可以由金属板(例如铜)制成。为了向缝隙平面天线1260提供微波功率,波导1263被放置在顶板1254上,在此波导1263连接到微波功率源1261以生成频率例如为2.45GHz的微波。波导1263包含具有连接到缝隙平面天线1260的下端的扁平圆形波导1263A、连接到圆形波导1263A的上表面一侧的圆形(同轴)波导1263B、以及连接到圆形(同轴)波导1263B的上表面一侧的同轴波导转换器1263C的输出端口(图16中的底面)。此外,矩形波导1263D连接到微波功率源1261和同轴波导转换器1263C的输入端口(图16中的侧面)。
在圆形波导1263B的内部,同轴设置有导电材料的轴向部分1262(或内导体),以使得轴向部分1262的一端连接到缝隙平面天线1260的上表面的中心(或接近中心的)部分,而轴向部分1262的另一端连接到圆形波导1263B的上表面,从而形成同轴结构。结果,圆形波导1263B被构造为充当同轴波导。微波功率可以例如在约0.5W/cm2和约4W/cm2之间。或者,微波功率可以在约0.5W/cm2和约3W/cm2之间。
另外,在真空工艺室1250中,设置有与顶板1254相对的衬底夹持器1252以支撑并加热衬底1258(例如,晶片)。衬底夹持器1252包含加热衬底1258的加热器1257,加热器1257可以是电阻性加热器。或者,加热器1257可以是灯加热器或任何其他类型的加热器。此外,工艺室1250包含连接到工艺室1250的底部部分和真空泵1255的排气管线1253。
仍然参考图16,控制器1299包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活等离子体处理系统1200的输入以及监视来自等离子体处理系统1200的输出。而且,控制器1299耦合到工艺室1250、泵1255、加热器1257和微波功率源1261并与之交换信息。存储在存储器中的程序被用于根据存储的工艺方案控制等离子体处理系统1200的前述部件。处理系统控制器1299的一个示例是基于UNIX的工作站。或者,控制器1299可以实现为通用计算机、数字信号处理系统或这里描述的任何一种控制器。而且,控制器1299可以相对于等离子体处理系统1200位于本地,或者其可以经由因特网或内联网相对于等离子体处理系统1200位于远处。关于额外的细节,具有缝隙平面天线(SPA)等离子体源的等离子体处理系统在题为“METHOD FORPRODUCING MATERIAL OF ELECTRONIC DEVICE”的未决欧洲专利申请EP1361605A1中有所描述,该申请的全部内容通过引用结合于此。
尽管上面只详细描述了本发明的某些示例性实施例,但是本领域技术人员将很容易意识到,在示例性实施例中可以进行许多修改,而基本上不脱离本发明的新颖教导和优点。例如,这里公开了用于改善ALD循环时间并减少ALD膜的污染的各种技术。这些特征的任意组合或全部都可以实现在单个ALD处理系统中。因此,所有这些修改都应当被包括在本发明的范围内。

Claims (14)

1.一种用于利用等离子体增强原子层沉积(PEALD)工艺在衬底上沉积膜的方法,包括:
将所述衬底放置在被配置为适用于所述PEALD工艺的工艺室中;
将第一处理材料引入所述工艺室内,所述第一处理材料包括TaCl5
将第二处理材料引入所述工艺室内,所述第二处理材料包括H2
在所述第二处理材料的引入期间将高于600W的电磁功率耦合到所述工艺室,以生成加速在所述衬底表面处的所述第一和第二处理材料之间的还原反应的等离子体;以及
通过交替引入所述第一处理材料和所述第二处理材料在所述衬底上形成所述膜,
其中,所述形成所述膜的步骤包括形成具有小于0.95原子百分比(at.%)的氯含量的钽膜。
2.如权利要求1所述的方法,其中:
所述形成所述膜的步骤包括形成具有小于460μΩ-cm的电阻率的钽膜。
3.如权利要求1所述的方法,其中所述耦合步骤包括在所述第二处理材料的引入期间将1000W的电磁功率耦合到所述工艺室。
4.如权利要求1所述的方法,其中所述耦合步骤包括将电磁功率耦合到所述工艺室的等离子体电极和衬底夹持器中的至少一个。
5.如权利要求1所述的方法,还包括利用载气引入所述第一和第二处理材料中的至少一种。
6.如权利要求1所述的方法,还包括在所述第一处理材料的引入期间将电磁功率耦合到所述工艺室,以生成辅助将所述第一处理材料吸附到所述衬底的等离子体。
7.如权利要求1所述的方法,还包括在引入所述第一处理材料和引入所述第二处理材料之间将净化气体引入到所述工艺室。
8.如权利要求7所述的方法,其中所述引入净化气体的步骤包括将惰性气体引入到所述工艺室。
9.如权利要求1所述的方法,还包括在所述衬底上形成阻挡层、种子层、粘附层、栅极层或介电层中的至少一种。
10.如权利要求1所述的方法,还包括在所述衬底上形成金属层、金属氧化物层或金属氮化物层中的至少一种。
11.如权利要求1所述的方法,其中所述引入第二处理材料的步骤包括引入所述第二处理材料5秒或更少时间。
12.一种包括由如权利要求3所述的方法形成的钽膜的半导体器件。
13.一种包括具有钽膜的阻挡层的半导体器件,所述钽膜由如权利要求1所述的方法形成。
14.一种包括具有钽膜的阻挡层的半导体器件,所述钽膜由如权利要求1所述的方法形成并且具有小于460μΩ-cm的电阻率。
CN200680009167A 2005-03-21 2006-03-15 等离子体增强原子层沉积方法和由其制造的半导体器件 Expired - Fee Related CN100585818C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/083,899 2005-03-21
US11/083,899 US7314835B2 (en) 2005-03-21 2005-03-21 Plasma enhanced atomic layer deposition system and method

Publications (2)

Publication Number Publication Date
CN101147247A CN101147247A (zh) 2008-03-19
CN100585818C true CN100585818C (zh) 2010-01-27

Family

ID=37010943

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200680009167A Expired - Fee Related CN100585818C (zh) 2005-03-21 2006-03-15 等离子体增强原子层沉积方法和由其制造的半导体器件

Country Status (5)

Country Link
US (1) US7314835B2 (zh)
JP (1) JP5318562B2 (zh)
KR (1) KR20080000593A (zh)
CN (1) CN100585818C (zh)
WO (1) WO2006101857A2 (zh)

Families Citing this family (412)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030000924A1 (en) * 2001-06-29 2003-01-02 Tokyo Electron Limited Apparatus and method of gas injection sequencing
TW200537695A (en) * 2004-03-19 2005-11-16 Adv Lcd Tech Dev Ct Co Ltd Insulating film forming method, insulating film forming apparatus, and plasma film forming apparatus
US7605469B2 (en) * 2004-06-30 2009-10-20 Intel Corporation Atomic layer deposited tantalum containing adhesion layer
JP4515191B2 (ja) * 2004-08-03 2010-07-28 東京エレクトロン株式会社 成膜方法
KR100714269B1 (ko) * 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US20060210723A1 (en) * 2005-03-21 2006-09-21 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
JP4456533B2 (ja) * 2005-06-14 2010-04-28 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
KR101283835B1 (ko) * 2005-06-29 2013-07-08 레르 리키드 쏘시에떼 아노님 뿌르 레?드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 3원 막의 증착 방법
US7338901B2 (en) * 2005-08-19 2008-03-04 Tokyo Electron Limited Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
JP5097554B2 (ja) * 2005-11-18 2012-12-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US7695567B2 (en) * 2006-02-10 2010-04-13 Applied Materials, Inc. Water vapor passivation of a wall facing a plasma
US7407876B2 (en) * 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US20080026576A1 (en) * 2006-07-31 2008-01-31 Rohm And Haas Electronic Materials Llc Organometallic compounds
US8034406B2 (en) * 2006-09-26 2011-10-11 Tokyo Electron Limited Integrated substrate processing in a vacuum processing tool
US7473634B2 (en) * 2006-09-28 2009-01-06 Tokyo Electron Limited Method for integrated substrate processing in copper metallization
JP5034594B2 (ja) * 2007-03-27 2012-09-26 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US7494937B2 (en) * 2007-03-30 2009-02-24 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7713868B2 (en) * 2007-03-30 2010-05-11 Tokyo Electron Limited Strained metal nitride films and method of forming
US7531452B2 (en) * 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
WO2008129508A2 (en) * 2007-04-20 2008-10-30 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Deposition of transition metal carbide containing films
US7829158B2 (en) * 2007-05-07 2010-11-09 Tokyo Electron Limited Method for depositing a barrier layer on a low dielectric constant material
US8026168B2 (en) * 2007-08-15 2011-09-27 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US7704884B2 (en) * 2008-04-11 2010-04-27 Micron Technology, Inc. Semiconductor processing methods
US7943527B2 (en) * 2008-05-30 2011-05-17 The Board Of Trustees Of The University Of Illinois Surface preparation for thin film growth by enhanced nucleation
CN102112654B (zh) 2008-08-01 2013-03-20 乔治洛德方法研究和开发液化空气有限公司 在基质上形成含钽层的方法
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
JPWO2010038885A1 (ja) * 2008-09-30 2012-03-01 東京エレクトロン株式会社 窒化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8138092B2 (en) * 2009-01-09 2012-03-20 Lam Research Corporation Spacer formation for array double patterning
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
WO2011162136A1 (en) * 2010-06-23 2011-12-29 Tokyo Electron Limited Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP2012182303A (ja) * 2011-03-01 2012-09-20 Toppan Printing Co Ltd 太陽電池バックシート
WO2012137949A1 (ja) * 2011-04-08 2012-10-11 東京エレクトロン株式会社 窒化物半導体の製造方法、窒化物半導体、およびiii-v族窒化物の成膜方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130034666A1 (en) * 2011-08-01 2013-02-07 Applied Materials, Inc. Inductive plasma sources for wafer processing and chamber cleaning
CN103065647B (zh) * 2011-10-19 2015-12-16 中芯国际集成电路制造(上海)有限公司 立体结构的磁隧道结的形成方法及形成设备
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130119018A1 (en) * 2011-11-15 2013-05-16 Keren Jacobs Kanarik Hybrid pulsing plasma processing systems
CN102400113A (zh) * 2011-12-14 2012-04-04 嘉兴科民电子设备技术有限公司 远程脉冲射频电感耦合放电等离子体增强原子层沉积装置
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
JP5953531B2 (ja) * 2012-05-09 2016-07-20 株式会社Joled 薄膜製造方法および表示パネルの製造方法、tft基板の製造方法
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
EP2784176B1 (en) * 2013-03-28 2018-10-03 Applied Materials, Inc. Deposition platform for flexible substrates
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
WO2015097871A1 (ja) * 2013-12-27 2015-07-02 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及び記録媒体
JP6277388B2 (ja) * 2014-01-14 2018-02-14 株式会社昭和真空 成膜方法
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
JP2016005900A (ja) 2014-05-27 2016-01-14 パナソニックIpマネジメント株式会社 ガスバリア膜、ガスバリア膜付きフィルム基板およびこれを備えた電子デバイス。
WO2015180077A1 (zh) * 2014-05-28 2015-12-03 王东君 一种辅助增强原子层沉积方法
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
JP6345104B2 (ja) * 2014-12-24 2018-06-20 東京エレクトロン株式会社 成膜方法
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
JP6600214B2 (ja) * 2015-09-28 2019-10-30 株式会社Screenホールディングス 成膜装置
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
JP6527482B2 (ja) 2016-03-14 2019-06-05 東芝デバイス&ストレージ株式会社 半導体製造装置
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
KR101820237B1 (ko) 2016-04-29 2018-01-19 한양대학교 산학협력단 가압식 금속 단원자층 제조 방법, 금속 단원자층 구조체 및 가압식 금속 단원자층 제조 장치
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
RU2633894C1 (ru) * 2016-06-24 2017-10-19 Акционерное общество "Научно-производственное предприятие "Пульсар" Способ плазмо-стимулированного атомно-слоевого осаждения изолирующих диэлектрических покрытий на гетероструктурах нитрид-галлиевых полупроводниковых приборов
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6935667B2 (ja) 2016-10-07 2021-09-15 東京エレクトロン株式会社 成膜方法
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US9972501B1 (en) 2017-03-14 2018-05-15 Nano-Master, Inc. Techniques and systems for continuous-flow plasma enhanced atomic layer deposition (PEALD)
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
WO2019078652A1 (ko) * 2017-10-18 2019-04-25 한양대학교 산학협력단 막 제조방법 및 그 제조장치, 금속 산화물 트랜지스터 및 그 제조방법
US11015243B2 (en) 2017-10-18 2021-05-25 Iucf-Hyu (Industry-University Cooperation Foundation Hanyang University) Method and apparatus for forming layer, metal oxide transistor and fabrication method thereof
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10714319B2 (en) * 2018-02-21 2020-07-14 Applied Materials, Inc. Apparatus and methods for removing contaminant particles in a plasma process
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP6744347B2 (ja) * 2018-03-02 2020-08-19 東芝デバイス&ストレージ株式会社 半導体装置の製造方法
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
JP7259839B2 (ja) 2018-03-19 2023-04-18 株式会社プロテリアル ダイアフラム弁及びそれを用いた質量流量制御装置
JP7099518B2 (ja) 2018-03-26 2022-07-12 日立金属株式会社 流量制御装置
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
JP6854260B2 (ja) * 2018-06-20 2021-04-07 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP7089987B2 (ja) * 2018-08-22 2022-06-23 株式会社日本製鋼所 原子層堆積装置
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
JP2020066764A (ja) * 2018-10-23 2020-04-30 東京エレクトロン株式会社 成膜装置および成膜方法
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP6710795B2 (ja) * 2019-03-13 2020-06-17 東芝デバイス&ストレージ株式会社 半導体装置の製造方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11087959B2 (en) 2020-01-09 2021-08-10 Nano-Master, Inc. Techniques for a hybrid design for efficient and economical plasma enhanced atomic layer deposition (PEALD) and plasma enhanced chemical vapor deposition (PECVD)
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11640900B2 (en) 2020-02-12 2023-05-02 Nano-Master, Inc. Electron cyclotron rotation (ECR)-enhanced hollow cathode plasma source (HCPS)
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210381107A1 (en) * 2020-06-03 2021-12-09 Micron Technology, Inc. Material deposition systems, and related methods and microelectronic devices
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11823910B2 (en) * 2020-07-31 2023-11-21 Tokyo Electron Limited Systems and methods for improving planarity using selective atomic layer etching (ALE)
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114951675B (zh) * 2022-05-30 2024-01-30 中国科学院合肥物质科学研究院 一种超细钨-钽纳米晶合金粉体及其制备方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100273473B1 (ko) * 1999-04-06 2000-11-15 이경수 박막 형성 방법
JP3687651B2 (ja) * 2000-06-08 2005-08-24 ジニテック インク. 薄膜形成方法
KR100497748B1 (ko) * 2002-09-17 2005-06-29 주식회사 무한 반도체소자 제조용 원자층 증착 장치 및 원자층 증착 방법
US6898558B2 (en) * 2002-12-31 2005-05-24 Tokyo Electron Limited Method and apparatus for monitoring a material processing system
US7163721B2 (en) * 2003-02-04 2007-01-16 Tegal Corporation Method to plasma deposit on organic polymer dielectric film
KR100674279B1 (ko) * 2003-03-25 2007-01-24 동경 엘렉트론 주식회사 처리장치 및 처리방법
WO2004112114A1 (ja) * 2003-06-16 2004-12-23 Tokyo Electron Limited 成膜方法、半導体装置の製造方法、半導体装置および成膜装置

Also Published As

Publication number Publication date
JP2008537979A (ja) 2008-10-02
US7314835B2 (en) 2008-01-01
US20060211246A1 (en) 2006-09-21
WO2006101857A3 (en) 2007-07-26
CN101147247A (zh) 2008-03-19
JP5318562B2 (ja) 2013-10-16
KR20080000593A (ko) 2008-01-02
WO2006101857A2 (en) 2006-09-28

Similar Documents

Publication Publication Date Title
CN100585818C (zh) 等离子体增强原子层沉积方法和由其制造的半导体器件
CN100590819C (zh) 等离子体增强原子层沉积方法和包含由此形成的膜的半导体器件
US7341959B2 (en) Plasma enhanced atomic layer deposition system and method
US7435454B2 (en) Plasma enhanced atomic layer deposition system and method
US8974868B2 (en) Post deposition plasma cleaning system and method
US20060210723A1 (en) Plasma enhanced atomic layer deposition system and method
US7407876B2 (en) Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7959985B2 (en) Method of integrating PEALD Ta-containing films into Cu metallization
US10121653B2 (en) Bottom-up PEALD proces
JP4713241B2 (ja) 高速原子層堆積装置及び使用方法
US7338901B2 (en) Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US20060225655A1 (en) Plasma enhanced atomic layer deposition system and method
US20120083134A1 (en) Method of mitigating substrate damage during deposition processes
CN101535524A (zh) 进行等离子体增强原子层沉积的方法和系统
CN100564587C (zh) 形成Ti膜的成膜方法
US9269584B2 (en) N-metal film deposition with initiation layer

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100127

Termination date: 20170315