KR101351657B1 - 박막 형성 방법, 컴퓨터 판독가능 매체 및 박막 기상 증착 시스템 - Google Patents
박막 형성 방법, 컴퓨터 판독가능 매체 및 박막 기상 증착 시스템 Download PDFInfo
- Publication number
- KR101351657B1 KR101351657B1 KR1020087014738A KR20087014738A KR101351657B1 KR 101351657 B1 KR101351657 B1 KR 101351657B1 KR 1020087014738 A KR1020087014738 A KR 1020087014738A KR 20087014738 A KR20087014738 A KR 20087014738A KR 101351657 B1 KR101351657 B1 KR 101351657B1
- Authority
- KR
- South Korea
- Prior art keywords
- film
- substrate
- plasma
- process space
- thin film
- Prior art date
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45544—Atomic layer deposition [ALD] characterized by the apparatus
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/515—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- H—ELECTRICITY
- H05—ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
- H05H—PLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
- H05H1/00—Generating plasma; Handling plasma
- H05H1/24—Generating plasma
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Electromagnetism (AREA)
- Analytical Chemistry (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
Abstract
기판 상에서의 기상 증착을 위한 방법, 컴퓨터 판독가능 매체, 및 시스템은 가스상 막 전구체를 프로세스 공간에 도입하고, 프로세스 공간의 체적을 제1 사이즈로부터 제2 사이즈로 증가시켜 확대된 프로세스 공간을 형성하며, 확대된 프로세스 공간에 환원 가스를 도입하고, 및 환원 가스로부터 환원 플라즈마를 형성한다. 기상 증착 시스템은 제1 프로세스 공간을 갖는 프로세스 챔버를 포함하며, 이 프로세스 챔버는, 제1 프로세스 공간을 포함하고 제1 체적을 초과하는 제2 체적을 갖는 제2 프로세스 공간을 더 포함한다. 제1 프로세스 공간은 원자층 증착을 위해 구성되고, 제2 프로세스 공간은 제1 프로세스 공간에서 증착된 층의 플라즈마 환원을 위해 구성된다.
Description
[관련 출원과의 상호 참조]
본 출원은 발명의 명칭이 "A PLASMA ENHANCED ATOMIC LAYER DEPOSITION SYSTEM"인 미국 특허출원 일련번호 11/090,255[대리인 문서번호 267366US, 클라이언트 참조번호 TTCA 19, 미국 특허출원공개번호 2004VVVVVVVVVV호]에 관련되며, 이 문헌의 전체 내용은 참조 문헌으로 본 명세서에 포함된다. 본 출원은 발명의 명칭이 "A DEPOSITION SYSTEM AND METHOD"인 미국 특허출원 일련번호 11/084,176[대리인 문서번호 265595US, 클라이언트 참조번호 TTCA 24, 미국 특허출원공개번호 2004VVVVVVVVVV호]에 관련되며, 이 문헌의 전체 내용은 참조 문헌으로 본 명세서에 포함된다. 본 출원은 발명의 명칭인 "A PLASMA ENHANCED ATOMIC LAYER DEPOSITION SYSTEM HAVING REDUCED CONTAMINATION"인 미국 특허출원 번호 XX/XXX.XXX[클라이언트 참조번호 TTCA 27, 미국 특허출원공개번호 2004VVVVVVVVVV호]에 관련되며, 이 문헌의 전체 내용은 참조 문헌으로 본 명세서에 포함된다. 본 출원은 발명의 명칭이 "METHOD AND SYSTEM FOR PERFORMING DIFFERENT DEPOSITION PROCESSES WITHIN A SINGLE CHAMBER"인 미국 특허출원 번호 XX/XXX.XXX[대리인 문서번호 2274020US, 클라이언트 참조번호 TTCA 54, 미국 특허출원공개번호 2006VVVVVVVVVV호]에 관련되 며, 이 문헌의 전체 내용은 참조 문헌으로 본 명세서에 포함된다.
[발명의 분야]
본 발명은 증착 시스템 및 그 동작 방법에 관한 것이고, 보다 상세하게는 원자층 증착을 위한 증착 시스템에 관한 것이다.
통상적으로, 재료 프로세싱(materials processing) 시에, 복합 재료 구조들을 제조할 때, 재료막의 추가 및 제거를 용이하게 하기 위해 플라즈마를 이용한다. 예를 들어, 반도체 프로세싱에서, 건식 플라즈마 에칭 프로세스는 실리콘 기판 상에 패터닝된 비아들(vias)이나 접점들(contacts) 내에서 또는 미세 라인들(fine lines)을 따라 재료를 제거 또는 에칭하는데 이용된다. 다르게는, 예를 들어 기상 증착 프로세스(vapor deposition process)는, 실리콘 기판 상의 비아들이나 접점들 내에서 또는 미세 라인들을 따라 재료를 증착하는데 이용된다. 후자의 경우, 기상 증착 프로세스들은 화학 기상 증착(CVD; Chemical Vapor Deposition) 및 플라즈마 강화 화학 기상 증착(PECVD; Plasma Enhanced Chemical Vapor Deposition)을 포함한다.
PECVD에서는 막 증착 메커니즘을 변경하거나 강화시키는데 플라즈마를 이용한다. 예를 들어, 일반적으로 플라즈마 여기(excitation)에 의해 막형성 반응들은, (플라즈마 여기 없이) 프로세스 가스를 그 프로세스 가스의 해리 온도 근처나 그 이상의 온도로 열 가열하는 열 CVD 프로세스에서 유사한 막을 생성하는데 통상적으로 요구되는 온도보다 실질적으로 낮은 온도에서 진행될 수 있다. 또한, 플라즈마 여기는 열 CVD에서 에너지적으로 또는 활성적으로 촉진되지 않는 막형성 화학 반응들을 활성화시킬 수도 있다. 따라서, PECVD막들의 화학적 및 물리적 특성들은 프로세스 파라미터들을 조정하는 것에 의해 비교적 넓은 범위에 걸쳐 변경될 수 있다.
좀더 최근에는, 원자층 증착(ALD; Atomic Layer Deposition) 및 플라즈마 강화 ALD(PEALD)이, 전공정(FEOL; Front End-Of-Line) 작업들에서의 초박형 게이트막 형성뿐만 아니라 후공정(BEOL; Back End-Of-Line) 작업들에서의 금속 배선 공정(metallization)을 위한 초박형 배리어층 및 시드층 형성을 위한 후보로서 출현하고 있다. ALD에서는, 한번에 단일 재료막의 단층을 형성하기 위하여, 기판을 가열하면서, 막 전구체 및 환원 가스와 같은 2 이상의 프로세스 가스들을 교대로 그리고 순차적으로 도입한다. PEALD에서, 플라즈마는 환원 플라즈마를 형성하는 환원 가스의 도입 동안 형성된다. 오늘까지, ALD 및 PEALD 프로세스는 층 두께에서의 향상된 균일성(uniformity) 및 층이 증착되는 특징부들에 대한 정합성(conformality)을 제공한다는 것이 입증되었음에도 불구하고, 이 프로세스들은 CVD 및 PECVD 프로세스보다 속도가 느리다.
본 발명의 한 목적은, 정합성, 접착성, 및 순도가 점점 결과물인 반도체 장치에 영향을 미치는 중요한 이슈가 되고 있는 라인 사이즈 감소 시의 반도체 프로세싱에 따른 여러 문제를 처리하는 것에 관한 것이다.
본 발명의 다른 목적은 이어서 증착되는 재료 층들의 계면 간의 오염 문제를 감소시키는 것이다.
본 발명의 다른 목적은 동일한 시스템 내에서 원자층 증착 및 플라즈마 강화 환원에 적합한 구성을 제공하는 것이다.
본 발명의 이들 및/또는 다른 목적들의 변화는 본 발명의 특정한 실시예에 의해 제공된다.
본 발명의 일 실시예에서, 기판 위에 프로세스 공간이 형성되는 기상 증착 시스템에 기판을 배치하는 단계, 가스상 막 전구체를 프로세스 공간에 도입하는 단계, 프로세스 공간의 체적을 제1 사이즈로부터 제2 사이즈로 증가시켜 확대된 프로세스 공간을 형성하는 단계, 확대된 프로세스 공간에 환원 가스를 도입하는 단계, 및 환원 가스로부터 환원 플라즈마를 형성하는 단계를 포함하는 기판을 프로세싱하기 위한 방법이 제공된다.
본 발명의 다른 실시예에서, 제1 체적을 갖는 제1 프로세스 공간을 갖는 프로세스 챔버를 포함하는, 기판 상에서의 박막 기상 증착을 위한 시스템이 제공된다. 프로세스 챔버는, 제1 프로세스 공간을 포함하며 제1 체적을 초과하는 제2 체적을 갖는 제2 프로세스 공간을 더 포함한다. 제1 프로세스 공간은 막 전구체를 흡착하기 위해 구성되고, 제2 프로세스 공간은 제1 프로세스 공간에 흡착된 막 전구체의 플라즈마 환원을 위해 구성된다.
첨부 도면에서는, 본 발명 및 그에 따른 수많은 수반 이점들을 용이하게 얻을 수 있으며, 동일한 점들은 첨부 도면들과 함께, 이하의 상세한 설명을 참조하여 더욱 잘 이해될 것이다.
도 1은 본 발명의 일 실시예에 따른 증착 시스템의 개략도이다.
도 2는 본 발명의 일 실시예에 따른 확대된 프로세스 공간을 나타내는 도 1의 증착 시스템의 개략도이다.
도 3은 본 발명의 다른 실시예에 따른 증착 시스템의 개략도이다.
도 4는 본 발명의 일 실시예에 따른 확대된 프로세스 공간을 나타내는 도 3의 증착 시스템의 개략도이다.
도 5는 도 1~도 4의 증착 시스템에서 사용될 본 발명의 일 실시예에 따른 개략적인 타이밍도이다.
도 6은 본 발명의 일 실시예에 따른 프로세스의 프로세스 흐름도이다.
다음 설명에서는, 발명의 완전한 이해를 용이하게 하기 위해 그리고 한정이 아닌 설명을 위해, 증착 시스템의 독특한 기하 구조 및 다양한 구성요소들의 설명과 같은, 특정 세부 사항들을 기술한다. 그러나, 발명이 이들 특정 세부 사항들을 벗어나는 다른 실시예들로 실시될 수도 있다는 것을 이해해야 한다.
이제, 유사한 참조 번호들이 수개 도면들 전체에 걸쳐 동일하거나 대응하는 부분들을 나타내는 도면들을 참조하면, 도 1은, 예를 들면 플라즈마 강화 원자층 증착(PEALD) 프로세스를 이용하여, 예를 들면 배리어막과 같은 박막을 기판 상에 증착하기 위한 증착 시스템(1)을 예시한다. BEOL(Back-End-Of-Line) 작업들에서의 반도체 디바이스들을 위한 접속 간(inter-connect) 및 접속 내(intra-connect) 구조들의 금속 배선 공정 시에, 얇은 컨포멀 배리어층이 배선 트렌치들 또는 비아들 상에 증착되어 레벨 간(inter-level) 또는 레벨 내(intra-level) 유전체로의 금속 이동을 최소화할 수도 있고, 얇은 정합 시드층이 배선 트렌치들 또는 비아들 상에 증착되어 막에 벌크 금속 충전을 위한 허용 가능한 접착 특성들을 제공할 수 있거나, 및/또는 얇은 정합 접착층이 배선 트렌치들 또는 비아들 상에 증착되어 막에 금속 시드 증착을 위한 허용 가능한 접착 특성들을 제공할 수도 있다. 이들 프로세스에 추가하여, 구리와 같은 벌크 금속이 배선 트렌치 또는 비아 내부에 증착되어야만 한다.
종래의 이러한 프로세스들은, 통상적으로 모든 프로세스 요건을 수용할 수 있는 단일 챔버는 없기 때문에, 이들 프로세스들의 각각의 특정 요구에 커스터마이즈되는 개별 챔버를 필요로 한다. 예를 들면, 바람직하게는 박막 배리어층은 허용 가능한 정합성을 제공하도록 자기 제어(self-limited) ALD 프로세스에서 수행되는 것이 좋다. ALD가 여러 가지의 프로세스 가스들을 교대로 필요로 하기 때문에, 비교적 느린 증착 속도로 증착이 일어난다. 작은 프로세스 공간 체적에서 열 ALD 프로세스를 수행하면 신속하게 가스를 주입하고 교대 가스를 배기할 수 있으므로, ALD 사이클을 단축하는 것임을 본 발명자는 인지하였다. 그러나, 플라즈마 강화 ALD를 수행할 때, 플라즈마 균일성이 기판과 상부 어셈블리 사이의 밀접한 간격으로 인해 작은 프로세스 공간 체적 내에서 악화된다. PEALD 프로세스의 플라즈마 지원 환원(plasma assisted reduction) 단계에서는 균일한 플라즈마를 유지하기 위해 큰 프로세스 공간 체적이 필요한 한편, PEALD 프로세스의 비-플라즈마 단계에서는 처리량을 증가시키거나 및/또는 프로세스 가스를 보존하기 위해 작은 프로세스 공 간 체적이 유리할 수 있다는 것을 본 발명자는 인지하였다.
도 1에서, 본 발명의 일 실시예에 따른 증착 시스템(1)은, 박막이 위에 형성되는 기판(25)을 지지하도록 구성된 기판 스테이지(20)를 구비한 프로세싱 챔버(10)를 포함한다. 추가적으로, 도 1에 예시된 증착 시스템(1)은, 프로세싱 챔버(10) 및 기판 스테이지(20)에 연결되어 기판(25)에 인접한 프로세스 공간의 체적을 조정하도록 구성된 프로세스 체적 조정 시스템(80)을 포함한다. 예를 들면, 프로세스 체적 조정 시스템(80)은 제1 체적으로 제1 프로세스 공간(85)을 생성하는 제1 위치(도 1 참조)와 제2 체적으로 제2 프로세스 공간(85')을 생성하는 제2 위치(도 2 참조) 간에 기판 스테이지(20)를 수직으로 이동시키도록 구성될 수 있다.
도 1 및 도 2에서 예시된 바와 같이, 증착 시스템(1)은 기판 스테이지(20)에 연결되어 기판(25)의 온도를 상승시키고 제어하도록 구성된 기판 온도 제어 시스템(60)을 포함할 수 있다. 기판 온도 제어 시스템(60)은, 기판 스테이지(20)로부터 열을 수용하고 그 열을 열 교환 시스템(도시 생략)으로 전달하거나, 또는 가열 시에는, 열 교환 시스템으로부터의 열을 전달하는 재순환 냉매 흐름을 갖는 냉각 시스템과 같은 온도 제어 요소를 포함할 수 있다. 추가적으로, 온도 제어 요소는 기판 스테이지(20) 뿐만 아니라, 프로세싱 챔버(10)의 챔버 벽 및 증착 시스템(1) 내의 다른 임의의 구성요소에 포함될 수 있는 저항성 가열 요소들 또는 열전(thermo-electric) 가열기들/냉각기들과 같은, 가열/냉각 요소들을 포함할 수 있다.
기판(25)과 기판 스테이지(20) 간의 열 전달을 향상시키기 위해, 기판 스테이지(20)는 기판(25)을 기판 스테이지(20)의 상부면에 부착시키는 기계적 클램핑 시스템 또는, 정전(electrostatic) 클램핑 시스템과 같은 전기적 클램핑 시스템을 포함할 수 있다. 또한, 기판 스테이지(20)는, 기판(25)과 기판 스테이지(20) 간의 가스-갭 열전도율(gas-gap thermal conductance)을 향상시키기 위해, 가스를 기판(25)의 후면에 도입하도록 구성된 기판 후면 가스 전달 시스템을 더 포함할 수 있다. 이러한 시스템은, 온도 상승 또는 온도 하강으로 기판의 온도 제어가 요구될 때 이용될 수 있다. 예를 들어, 기판 후면 가스 시스템은 2-구역 가스 분배 시스템을 포함할 수 있는데, 이 경우, 헬륨 가스 갭 압력은 기판(25)의 중앙과 엣지 사이에서 독립적으로 변경될 수 있다.
기판 스테이지(20)는 기판 스테이지를 이동시키는 진공 상태 메커니즘 및 기판 온도 제어 시스템을 위한 내부 메커니즘과 함께 프로세싱 챔버(10)의 하부 챔버 어셈블리를 구성할 수 있다.
프로세싱 챔버(10)는 제1 프로세스 재료 가스 공급 시스템(40), 제2 프로세스 재료 가스 공급 시스템(42), 및 퍼지 가스 공급 시스템(44)에 연결된 상부 챔버 어셈블리(30)를 더 포함할 수 있다. 이 경우, 제1 프로세스 재료 가스 공급 시스템(40) 및 제2 프로세스 재료 가스 공급 시스템(42)을 통해, 상부 챔버 어셈블리(30)는 가스상 막 전구체 및 환원 가스를 프로세스 공간(85, 85')에 각각 제공할 수 있다. 제1 및 제2 프로세스 가스 재료를 프로세스 공간(85) 내에 균일하게 분배하도록 종래 기술에서 알려진 샤워 헤드 설계가 사용될 수 있다. 예시적인 샤워 헤드는, 전체 내용이 본 명세서에 참고 문헌으로 포함되는 미국 특허출원 일련번호 11/090,255[현재 계류중인 미국 특허출원공개번호 20040123803호]에 좀더 자세하게 설명되어 있다.
증착 시스템(1)은 200㎜ 기판들, 300㎜ 기판들, 또는 좀더 큰 사이즈의 기판들을 프로세싱하도록 구성될 수도 있다. 사실상, 당업자라면 알 수 있는 바와 같이, 본 발명에서 설명하는 증착 시스템은 기판들, 웨이퍼들, 또는 LCD들을 그것들의 사이즈에 상관없이 프로세싱하도록 구성될 수 있음을 예상할 수 있다. 기판은 프로세싱 챔버(10)에 도입될 수 있고, 기판 리프트 시스템(도시 생략)을 통해 기판 스테이지(20)의 상부면에 대해 리프트될 수도 있다.
본 발명의 일 실시예에 따르면, 제1 프로세스 가스 재료 공급 시스템(40) 및 제2 프로세스 가스 재료 공급 시스템(42)은 가스상 막 전구체(즉, 제1 프로세스 가스 재료)를 프로세싱 챔버(10)에 그리고 환원 가스(즉, 제2 프로세스 가스 재료)를 프로세싱 챔버(10)에 교대로 도입하도록 구성될 수 있다. 제1 프로세스 가스 재료 도입과 제2 프로세스 가스 재료 도입의 교대는 주기적일 수 있거나, 제1 프로세스 가스 재료 도입과 제2 프로세스 가스 재료 도입 사이의 시간이 변할 수 있어 비주기적일 수도 있다. 가스상 막 전구체로서 제1 프로세스 가스 재료는 기판(25) 상에 형성된 막에서 발견되는 주(principal) 원자 또는 분자종들을 갖는 조성물을 포함할 수 있다. 막 전구체는 고체 상태, 액체 상태, 또는 가스 상태로서 비롯될 수 있고, 가스 상태로 프로세싱 챔버(10)에 전달될 수도 있다. 제2 프로세스 가스 재료는, 예를 들면 환원 가스를 포함할 수 있다. 환원 가스는 고체 상태, 액체 상태, 또는 가스 상태로서 비롯될 수 있고, 가스 상태로 프로세싱 챔버(10)에 전달될 수도 있다. 가스상 막 전구체와 환원 가스의 예들은 후술한다.
제1 프로세스 재료 공급 시스템(140), 제2 프로세스 재료 공급 시스템(142), 및 퍼지 가스 공급 시스템(144)은 하나 이상의 재료 소스들, 하나 이상의 압력 제어 디바이스들, 하나 이상의 흐름 제어 디바이스들, 하나 이상의 필터들, 하나 이상의 밸브들, 또는 하나 이상의 흐름 센서들을 포함할 수 있다. 흐름 제어 디바이스들은 공기 구동식(pneumatic driven) 밸브들, 전자 기계(electro-mechanical)(솔레노이드) 밸브들, 및/또는 고속 펄스형(high-rate pulsed) 가스 주입 밸브들을 포함할 수 있다. 예시적인 펄스형 가스 주입 시스템은, 전체 내용이 본 명세서에 참고 문헌으로 포함되는 계류중인 미국 특허출원공개번호 20040123803호에 좀더 상세하게 설명되어 있다.
계속 도 1을 참조하면, 본 발명의 일 실시예의 증착 시스템(1)은 프로세싱 챔버(10)로의 제1 프로세스 가스 재료 및 제2 프로세스 가스 재료의 교대 도입의 적어도 일부분 동안 플라즈마를 생성하도록 구성된 플라즈마 생성 시스템을 포함할 수 있다. 플라즈마 생성 시스템은 프로세싱 챔버(10)에 연결되어 제1 프로세스 가스 재료나 제2 프로세스 가스 재료, 또는 양쪽 재료에 전력을 공급하도록 구성된 제1 전원(50)을 포함할 수 있다. 제1 전원(50)은 RF(Radio Frequency) 발생기 및 임피던스 매치 네트워크(도시 생략)를 포함할 수도 있으며, RF 전력이 프로세싱 챔버(10)의 플라즈마에 공급되도록 통과하는 전극을 더 포함할 수도 있다. 전극은 상부 어셈블리(30)에 형성될 수 있고, 기판 스테이지(20)와 대향하도록 구성될 수 있다.
임피던스 매치 네트워크는, 매치 네트워크의 출력 임피던스를, 전극 및 플라 즈마를 포함하는, 프로세싱 챔버의 입력 임피던스와 일치시킴으로써, RF 발생기로부터 플라즈마로의 RF 전력 전달을 최적화하도록 구성될 수 있다. 예를 들어, 임피던스 매치 네트워크는 반사 전력을 감소시키는 것에 의해 플라즈마 프로세싱 챔버(10)에서 플라즈마로의 RF 전력 전달을 향상시키는 역할을 한다. 매치 네트워크 토폴로지들(예를 들어, L-형, π-형, T-형 등) 및 자동 제어 방법들은 당업자들에게 널리 공지되어 있다. RF 전력을 위한 전형적인 주파수는 대략 0.1㎒ 내지 100㎒의 범위일 수 있다. 다르게는, RF 주파수는, 예를 들어 약 400㎑ 내지 약 60㎒의 범위일 수 있다. 추가 일례로, RF 주파수는, 예를 들어 약 13.56㎒ 또는 27.12㎒일 수 있다.
본 발명의 일 실시예의 증착 시스템(1)은 프로세싱 챔버(10)로의 제1 프로세스 가스 재료 및 제2 프로세스 가스 재료의 교대 도입의 적어도 일부분 동안 플라즈마를 생성시키도록 구성된 기판 바이어스 발생 시스템을 포함할 수 있다. 기판 바이어스 시스템은 프로세싱 챔버(10)에 연결되어 기판(25)에 전력을 공급하도록 구성된 제2 전원(52)을 포함할 수 있다. 제2 전원(52)은 RF 발생기 및 임피던스 매칭 네트워크를 포함할 수도 있고, RF 전력이 기판(25)에 공급되도록 통과하는 전극을 더 포함할 수도 있다. 전극은 기판 스테이지(20)에 형성될 수도 있다. 예를 들어, 기판 스테이지(20)는 RF 발생기(도시 생략)로부터 임피던스 매칭 네트워크(도시 생략)를 통해 기판 스테이지(20)에 이르는 RF 전력의 전송에 의해 RF 전압으로 또는 DC 전압으로 전기적으로 바이어스될 수 있다. RF 바이어스를 위한 통상적인 주파수는 약 0.1㎒ 내지 약 100㎒의 범위일 수 있다. 플라즈마 프로세싱을 위한 RF 바이어스 시스템들은 당업자들에게 널리 공지되어 있다. 다른 방법으로, RF 전력은 복수의 주파수로 기판 스테이지 전극에 인가될 수 있다. 다르게는, RF 주파수는, 예를 들면 약 400㎑ 내지 약 60㎒의 범위일 수 있다. 추가 일례로, RF 주파수는, 예를 들면 약 13.56㎒ 또는 27.12㎒일 수 있다. 기판 바이어스 발생 시스템은 플라즈마 생성 시스템과 다른 주파수에서 또는 플라즈마 생성 시스템과 동일한 주파수에서 동작할 수도 있다.
플라즈마 생성 시스템 및 기판 바이어스 시스템이 도 1에서 별도 엔티티들로서 예시되지만, 이들 시스템은 기판 스테이지(20)에 연결된 하나 이상의 전원들을 포함할 수도 있다.
또한, 프로세싱 챔버(10)는 예를 들면, 덕트(38)를 통해, 진공 펌핑 시스템(34) 및 밸브(36)를 포함하는 압력 제어 시스템(32)에 연결된다. 압력 제어 시스템(32)은, 기판(25) 상에 박막을 형성하기에 적합하고 제1 및 제2 프로세스 재료들의 사용에 적합한 압력으로 프로세싱 챔버(10)를 제어 가능하게 배기시키도록 구성된다.
진공 펌핑 시스템(34)은 약 5000리터/초(및 그 이상)까지 펌핑 속도를 높일 수 있는 터보 분자 진공 펌프(TMP; Turbo-Molecular vacuum Pump)를 포함할 수 있고, 밸브(36)는 챔버 압력을 조절하기 위한(throttling) 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 이용되는 전통적인 플라즈마 프로세싱 디바이스들에서는 일반적으로 1000 내지 3000 리터/초 TMP가 이용된다. 또한, 챔버 압력을 모니터링하기 위한 디바이스(도시 생략)가 프로세싱 챔버(10)에 연결될 수도 있다. 압력 측정 디바이스는, 예를 들어 MKS Instruments, Inc.(미국 매사추세츠주 앤도버 소재)로부터 구입 가능한 Type 628B Baratron 앱솔루트 커패시턴스 마노미터(absolute capacitance manometer)일 수 있다.
이제 도 3 및 도 4를 참조하면, 본 발명의 다른 실시예에 따라 플라즈마 강화 원자층 증착(PEALD)을 이용하여 배리어막과 같은 박막을 기판 상에 증착하기 위한 증착 시스템(1')이 예시되어 있다. 증착 시스템(1')은, 동일한 참조 번호들이 동일한 구성요소를 나타내는 도 1 및 도 2에 예시된 증착 시스템(1')과 동일한 다수의 특징부들을 포함한다. 증착 시스템(1')은 도 3의 프로세스 공간(85) 또는 도 4의 프로세스 공간(85')의 주위 엣지를 둘러싸도록 구성된 차폐물(24)을 더 포함한다. 기판 스테이지(20)는 기판 스테이지(20)가 프로세스 공간(85')을 형성하도록 상방으로 이동할 때 차폐물(24)과 연결하도록 구성된 외측 립부(outer lip)(22)를 더 포함한다. 예를 들면, 외측 립부(22)는 차폐물(24)과 시일하도록 구성될 수 있다. 차폐물(24)은 프로세스 공간(85')의 배기가 가능하도록, (천공된 차폐물에서와 같이)프로세스 가스가 통과하도록 구성될 수 있다. 차폐물(24)을 프로세스 공간(85')의 배기가 가능하도록 구성하지 않은 경우, 진공 펌핑 시스템(34)과 유사한 별개의 진공 펌핑 시스템(35)을 사용하여 프로세스 공간(85')을 배기시킬 수 있다.
도 3 및 도 4에 도시된 차폐물(24)은 여러 용도로 기능할 수 있다. 차폐물(24)은 프로세스 공간(85, 85') 내의 가스 유체 흐름을 보다 신뢰성 있게 예견하거나 제어할 수 있는 단순화된 원통형 기하학적 구조를 제공할 수 있다. 차폐물의 소정의 위치에 개구를 형성함으로써(즉, 천공된 차폐물과 같이), 유체 흐름이 공학 적으로 처리될 수 있다. 마찬가지로, 차폐물(24)은 플라즈마 엣지에 근접한 전기 접지에 대해 좌우 대칭한 경로를 제공할 수 있으므로, 보다 신뢰성 있게 예견되거나 제어될 수 있는 균일한 플라즈마를 제공할 수 있다. 또한, 차폐물(24)은 교체 가능한 유닛일 수 있어서, 보통 벽(10)의 내부에 축적되는 증착물을 수집할 수 있다. 이 경우, 차폐물(24)은 정기적인 보수관리 시 교체될 수 있고, 벽(10) 내부를 세정해야 할 때까지의 시간을 연장할 수 있다.
이제 도 5를 참조하면, 증착 시스템(1, 1')은 기판 상에 박막을 형성하기 위해 막 전구체와 환원 가스가 연속으로 그리고 교대로 도입되는 플라즈마 강화 원자층 증착(PEALD)을 수행하도록 구성될 수 있다. 예를 들면, 탄탈 함유 막을 마련하기 위한 PEALD 프로세스에서, 막 전구체는 금속 할로겐화물(metal halide)(예를 들어, 탄탈 펜타클로라이드(tantalum pentachloride) 또는 금속 유기체[예를 들어, Ta(NC(CH3)2C2H5)(N(CH3)2)3), 이하 TAIMATA®라고 함, 추가 상세 내용은 미국 특허 번호 6,593,484호 참조]를 포함할 수 있다. 이 예에서, 환원 가스는 수소, 암모니아(NH3), N2와 H2, N2H4, NH(CH3)2, 또는 N2H3CH3를 포함할 수 있다.
기판(25)의 노출된 표면 상에서의 막 전구체의 흡착을 일으키기 위하여, 막 전구체가 제1 시간 동안 프로세싱 챔버(10)에 도입된다. 바람직하게는, 재료의 단일층 흡착이 발생하는 것이 좋다. 그 후, 도 5에 도시한 바와 같이, 프로세싱 챔버(10)가 제2 시간 동안 퍼지 가스로 퍼지된다. 막 전구체를 기판(25) 상에 흡착시킨 후에, 환원 가스가 제3 시간 동안 프로세싱 챔버(10)에 도입되면서, 전력이 예 를 들어 제1 전원(50)으로부터 상부 어셈블리(30)를 통해 환원 가스에 공급된다. 환원 가스에 전력을 공급하면 환원 가스가 가열하여, 환원 가스의 이온화 및 해리가 일어남으로써, 예를 들면 소정의 탄탈 함유 막을 형성하도록 흡착된 탄탈막과 반응해 흡착된 탄탈막 전구체를 감소시킬 수 있는 원자 수소와 같은 해리 종들이 형성된다.
일례에서, 도 5에서 설명한 제1 프로세스 시에, 탄탈(Ta), 탄탈 질화물(tantalum nitride), 또는 탄탈 탄소질화물(tantalum carbonitride)를 PEALD 프로세스를 이용하여 증착할 수 있고, 여기에서 TaF5, TaCl5, TaBr5, TaI5, Ta(CO)5, Ta[N(C2H5CH3)]5(PEMAT), Ta[N(CH3)2]5(PDMAT), Ta[N(C2H5)2]5(PDEAT), Ta(NC(CH3)3)(N(C2H5)2)3(TBTDET), Ta(NC2H5)(N(C2H5)2)3, Ta(NC(CH3)2C2H5)(N(CH3)2)3, 또는 Ta(NC(CH3)3)(N(CH3)2)3와 같은 탄탈 전구체는 H2, NH3, N2와 H2, N2H4, NH(CH3)2, 또는 N2H3CH3와 같은 환원 가스에 노출된다. 본 발명의 PEALD 프로세스에서, 환원 가스는 플라즈마 활성화된다.
본 발명의 PEALD 프로세스의 또 다른 예에서, 티타늄(Ti), 티타늄 질화물, 또는 티타늄 탄소질화물을 증착할 때, 막 전구체는 TiF4, TiCl4, TiBr4, TiI4, Ti[N(C2H5CH3)]4(TEMAT), Ti[N(CH3)2]4(TDMAT), 또는 Ti[N(C2H5)2]4(TDEAT)를 포함할 수 있고, 환원 가스는 H2, NH3, N2와 H2, N2H4, NH(CH3)2, 또는 N2H3CH3를 포함할 수 있다.
PEALD 프로세스의 또 다른 예로서, 텅스텐(W), 텅스텐 질화물, 또는 텅스텐 탄소질화물을 증착할 때, 막 전구체는 WF6 또는 W(CO)6를 포함할 수 있고, 환원 가스는 H2, NH3, N2와 H2, N2H4, NH(CH3)2, 또는 N2H3CH3를 포함할 수 있다.
본 발명의 PEALD 프로세스의 또 다른 예에서, 몰리브덴(Mo)을 증착할 때, 막 전구체는 MoF6(molybdenum hexafluoride)를 포함할 수 있고, 환원 가스는 H2를 포함할 수 있다.
ALD 프로세스에서 구리를 증착할 때, 막 전구체는 (미국 캘리포니아주 92009 칼스배드 팔로마 오크웨이 1969 소재의) 에어 프로덕츠 앤드 케미칼스, 인코포레이티드(Air Products and Chemicals, Inc.)로부터 입수 가능한, CupraSelect®의 상표명으로도 공지되어 있는, Cu(TMVS)(hfac) 등의 유기금속 화합물들, 또는 CuCl 등의 무기 화합물들을 포함할 수 있다. 환원 가스는 H2, O2, N2, NH3, 또는 H2O 중 적어도 하나를 포함할 수 있다. 여기에서 사용되는 바와 같이, "A, B, C, ... 및 X 중 적어도 하나"란 기재는 열거된 요소들 중 어느 하나 또는 열거된 요소들 중 하나 이상의 임의의 조합을 의미한다.
본 발명의 PEALD 프로세스의 또 다른 예에서, ZrO2를 증착할 때, 막 전구체는 Zr(NO3)4 또는 ZrCl4를 포함할 수 있고, 환원 가스는 H2O를 포함할 수 있다.
본 발명의 PEALD 프로세스에서 하프늄 산화물(HfO2)을 증착할 때, 막 전구체 는 Hf(OBut)4, Hf(NO3)4, 또는 HfCl4를 포함할 수 있고, 환원 가스는 H2O를 포함할 수 있다. 또 다른 예에서, 하프늄(Hf)을 증착할 때, 막 전구체는 HfCl4를 포함할 수 있고, 환원 가스는 H2를 포함할 수 있다.
본 발명의 PEALD 프로세스의 또 다른 예에서, 니오븀(Nb)을 증착할 때, 막 전구체는 NbCl5(niobium pentachloride)를 포함할 수 있고, 환원 가스는 H2를 포함할 수 있다.
본 발명의 PEALD 프로세스의 또 다른 예에서, 아연(Zn)을 증착할 때, 막 전구체는 ZnCl2(zinc dichloride)를 포함할 수 있고, 환원 가스는 H2를 포함할 수 있다.
본 발명의 PEALD 프로세스의 또 다른 예에서, 실리콘 산화물을 증착할 때, 막 전구체는 Si(OC2H5)4, SiH2Cl2, SiCl4, 또는 Si(NO3)4를 포함할 수 있고, 환원 가스는 H2O 또는 O2를 포함할 수 있다. 또 다른 예에서, 실리콘 질화물을 증착할 때, 막 전구체는 SiCl4 또는 SiH2Cl2를 포함할 수 있고, 환원 가스는 NH3, 또는 N2와 H2를 포함할 수 있다. 또 다른 예에서, TiN을 증착할 때, 막 전구체는 Ti(NO3)(titanium nitrate)를 포함할 수 있고, 환원 가스는 NH3를 포함할 수 있다.
ALD 프로세스의 또 다른 예에서, 알루미늄을 증착할 때, 막 전구체는 Al2Cl6(aluminum chloride) 또는 Al(CH3)3(trimethylaluminum)를 포함할 수 있고, 환원 가스는 H2를 포함할 수 있다. 알루미늄 질화물을 증착할 때, 막 전구체는 알루미늄 트리클로라이드(aluminum trichloride) 또는 트리메틸알루미늄(trimethylaluminum)을 포함할 수 있고, 환원 가스는 NH3, 또는 N2와 H2를 포함할 수 있다. 또 다른 예에서, 알루미늄 산화물을 증착할 때, 막 전구체는 알루미늄 클로라이드 또는 트리메틸알루미늄을 포함할 수 있고, 환원 가스는 H2O, 또는 O2와 H2를 포함할 수 있다.
본 발명의 PEALD 프로세스의 또 다른 예에서, GaN을 증착할 때, 막 전구체는 Ga(NO3)3(gallium nitrate) 또는 Ga(CH3)3(trimethylgallium)를 포함할 수 있고, 환원 가스는 NH3를 포함할 수 있다.
또한, 상기의 PEALD 프로세스에서, 프로세스 체적은, 제1 시간 동안 제1 프로세스 가스 재료의 도입과 제2 시간 동안 퍼지 가스의 선택적 도입 시의 제1 체적(V1)과, 제3 시간 동안 제2 프로세스 가스 재료의 도입과 제4 시간 동안 퍼지 가스의 선택적 도입 시의 제2 체적(V2) 간에 변경될 수 있다. PEALD 프로세스의 각 프로세스 단계마다 프로세스 공간에 대한 최적의 체적(V1, V2)을 선택할 수 있다.
예를 들면, 제1 체적(V1)은, 제1 프로세스 가스 재료가 프로세스 공간을 통과하고 제1 프로세스 가스 재료의 일부가 기판의 표면 상에 흡착되도록 충분히 작을 수 있다. 프로세스 공간의 제1 체적이 감소함에 따라, 기판 표면 상에 흡착되는 데에 필요한 제1 프로세스 가스 재료의 양이 감소하고, 제1 프로세스 공간에서 제1 프로세스 가스 재료를 교환하는 데에 필요한 시간이 단축된다. 예를 들어, 프로세스 공간의 제1 체적이 감소함에 따라, 체류 시간이 단축되어 제1 시간을 단축할 수 있다.
더욱이, 예를 들어 제2 체적(V2)은, 제2 프로세스 재료로부터의 플라즈마의 형성이 기판 상에 균일한 플라즈마의 형성으로 이어지는 체적에 설정될 수 있다. 반도체 웨이퍼를 비롯한 기판을 프로세싱할 때, 프로세스 공간은 직경(D) 및 기판과 상부 어셈블리 사이의 높이, 즉 간격(h)을 포함하는 것을 특징으로 하는 거의 원통형이다. 그 간격(즉, 높이)은 프로세스 공간의 체적을 조정하기 위한 가변 파라미터일 수 있는 반면, 그 직경은 기판의 사이즈와 관련이 있다. 균일한 플라즈마를 위해, 기판(즉, 체적)의 직경과 간격 간의 종횡비(즉, D/h)는 대략 값 10 이하일 수 있고, 바람직하게는 대략 값 5 미만인 것이 좋다. 예를 들어, 종횡비가 감소함에 따라, 플라즈마 균일성이 향상하는 것이 관찰되는 반면, 종횡비가 증가함에 따라, 플라즈마 균일성이 악화되는 것이 관찰되었다. 예를 들어, 플라즈마를 사용하여 막을 200㎜의 기판 상에 증착할 때, 간격(h)은 대략 20㎜ 이상이어야 한다.
예를 들어, 제1 프로세스 재료의 도입 시에 제1 체적은, 예를 들면 200㎜ 기판에 대해 [기판 스테이지(20)로부터 상부 어셈블리(30)까지]20㎜ 이하(또는 300㎜ 기판에 대해 30㎜ 이하)의 간격을 포함할 수 있고, 제2 프로세스 재료의 도입 시에 제2 체적은, 예를 들면 200㎜ 기판에 대해 20㎜ 이상(또는 300㎜ 기판에 대해 30㎜ 이상)의 간격을 포함할 수 있다.
도 6은 본 발명의 일 실시예에 따른 프로세스의 프로세스 흐름도를 나타내고 있다. 도 6의 프로세스는 도 1~도 4의 프로세싱 시스템, 또는 기타 적절한 프로세싱 시스템에 의해 수행될 수 있다. 도 6에서 볼 수 있는 바와 같이, 단계 610에서, 프로세스는 기판을, 기판 위에 프로세스 공간이 형성되는 기상 증착 시스템에 배치할 때 시작된다. 단계 620에서, 가스상 막 전구체가 프로세스 공간에 도입된다. 단계 630에서, 프로세스 공간의 체적이 제1 사이즈로부터 제2 사이즈까지 증가하여 확대된 프로세스 공간이 형성된다. 단계 640에서, 확대된 프로세스 공간 내에 환원 가스가 도입된다. 단계 650에서, 환원 가스로부터 환원 플라즈마가 형성된다.
또한, 본 발명의 일 실시예에서, 상기의 단계들은 프로세스가 계속될 때 역전될 수 있고 반복될 수 있다. 예를 들어, 환원 플라즈마가 소멸될 수 있고, 프로세스 공간의 체적이 제2 사이즈로부터 제1 사이즈로 감소할 수 있고, 단계 610~단계 640가 반복될 수 있다.
단계 650에서, 0.1㎒ 내지 100㎒ 범위의 주파수의 RF 에너지를 인가하여 플라즈마를 형성할 수 있다. 본 발명의 한 관점에 있어서, 플라즈마를 형성하기 전에, 플라즈마 균일성을 위해 보다 높은 전도성 상태를 촉진하기 위하여 프로세스 공간의 체적을 증가시킨다. 이 경우, 단계 630에서, 기판 스테이지는 제2 기상 증착 프로세스의 플라즈마 균일성을 향상시키는 위치로 이동할 수 있다. 예를 들어, 기판 스테이지는, 플라즈마 균일성이 기판 스테이지의 200㎜의 직경 상에서 2%보다 우수하거나 기판 스테이지의 200㎜의 직경 상에서 1%보다 우수한 위치에 설정될 수 있다. 예를 들어, 기판 스테이지는, 플라즈마 균일성이 기판 스테이지의 300㎜의 직경 상에서 2%보다 우수하거나 기판 스테이지의 300㎜의 직경 상에서 1%보다 우수한 위치에 설정될 수 있다.
단계 650에서, 환원 플라즈마는 10W/㎠ 미만, 바람직하게는 1W/㎠ 미만의 전력 밀도를 가질 수 있다. 환원 플라즈마의 지속 기간은 20초 미만, 바람직하게는 5초 미만인 것이 좋다. 예를 들어, 도 1~도 4를 참조하면, 200W 내지 3000W의 무선 주파수(RF) 전력을 상부 어셈블리(30)를 통해 환원 가스에 공급함으로써 환원 플라즈마가 형성될 수 있다.
단계 620에서, 가스상 막 전구체가, 차폐물로 둘러싸인 기판 상의 영역에 도입된다. 본 발명의 일 실시예에서, 차폐물을 천공하여, 그 천공된 차폐물을 통해 가스상 막 전구체를 펌핑함으로써 가스상 막 전구체를 배기시킬 수 있다. 차폐물이 천공되지 않는 경우, 별도로 프로세스 공간 내부를 펌핑할 수 있다.
단계 610~단계 640에서, 막은 금속, 금속 산화물, 금속 질화물, 메탈 규화물 및 금속 탄소질화물 중 적어도 하나로 증착될 수 있다. 예를 들어, 막은 탄탈막, 탄탈 질화물막 및 탄탈 탄소질화물막 중 적어도 하나를 포함할 수 있다. 단계 610~단계 640에서, 막은 알루미늄막, 구리막, 아연막, 금속 규화물막 및 게르마늄 함유 막 중 적어도 하나로 증착되거나, 개별적으로 또는 합금으로서 증착된 이들 막의 임의의 조합으로 증착될 수 있다. 단계 610~단계 640에서, 막은 지르코늄 산화물막, 하프늄 산화물막, 실리콘 산화물막, 실리콘 질화물막, 티타늄 질화물막 또는 질화갈륨(GaN)막, 또는 이들 막의 임의의 조합으로 증착될 수 있다.
단계 650에서, 기판 바이어스가 기판에 제공될 수 있다. 예를 들어, 기판 바 이어스는 0.1㎒ 내지 100㎒ 범위의 주파수를 갖는 RF 전압 및/또는 DC 전압일 수 있다. 단계 660 전에, 제1 막의 표면에서 환원 반응 프로세스를 가속화하는 플라즈마를 생성하도록 전자기 전력이 기상 증착 시스템에 공급될 수 있다.
또한, 막 전구체를 도입한 후에 퍼지 가스가 도입될 수 있다. 더욱이, 퍼지 가스가 있거나 없는 상태로, 기상 증착 시스템 또는 기판 중 적어도 하나로부터 오염물을 방출시키도록 전자기 전력이 기상 증착 시스템에 공급될 수 있다. 전자기 전력이 플라즈마, 자외선, 또는 레이저의 형태로 기상 증착 시스템 내에 공급될 수 있다.
도 1~도 4에 도시한 바와 같이, 증착 시스템(1, 1')은 프로세싱 챔버(10), 기판 스테이지(20), 상부 어셈블리(30), 제1 프로세스 재료 공급 시스템(40), 제2 프로세스 재료 공급 시스템(42), 퍼지 가스 공급 시스템(44), 제1 전원(50), 기판 온도 제어 시스템(60), 및/또는 프로세스 체적 조정 시스템(80)에 연결될 수 있는 컨트롤러(70)를 포함한다.
컨트롤러(70)는 전술한 막 증착을 위한 프로세스들을 제어 및 모니터링하기 위해, 마이크로프로세서, 메모리, 및 증착 시스템(1, 1')으로의 입력들을 전달하고 활성화할 뿐만 아니라 증착 시스템(1, 1')으로부터의 출력들을 모니터하기에 충분한 제어 전압들을 발생시킬 수 있는 디지털 I/O 포트를 포함할 수 있다. 예를 들면, 도 6에 관하여 전술한 단계들을 달성하기 위해 실행하기 위한 프로그램 명령어들을 포함하는 컴퓨터 판독가능 매체를 포함할 수 있다. 더욱이, 컨트롤러(70)는 프로세싱 챔버(10), 기판 스테이지(20), 상부 어셈블리(30), 제1 프로세스 재료 가 스 공급 시스템(40), 제2 프로세스 재료 가스 공급 시스템(42), 퍼지 가스 공급 시스템(44), 제1 전원(50), 제2 전원(52), 기판 온도 컨트롤러(60), 및/또는 압력 제어 시스템(32)에 연결될 수 있고 이들과 정보를 교환할 수도 있다. 예를 들어, 전술한 비-플라즈마 또는 플라즈마 강화 증착 프로세스 중 하나를 수행하기 위해, 메모리에 저장된 프로그램은 프로세스 레시피에 따라, 전술한 증착 시스템(1, 1')의 구성요소들의 입력들을 활성화하는데 이용될 수도 있다.
컨트롤러(70)의 일례는 미국 텍사스주 오스틴 소재의 델(Dell Corporation)사로부터 입수 가능한 DELL PRECISION WORKSTATION 610TM이다. 하지만, 컨트롤러(70)는, 프로세서가 실행하는, 메모리에 포함된 하나 이상 명령어들의 하나 이상 시퀀스들에 응답하여, 본 발명의 마이크로프로세서 기반 프로세싱 단계들의 일부분 또는 전부를 수행하는 범용 컴퓨터 시스템으로서 구현될 수도 있다. 그러한 명령어들은, 하드 디스크 또는 분리형 매체 드라이브와 같은, 다른 컴퓨터 판독가능 매체로부터 컨트롤러 메모리로 판독될 수도 있다. 멀티프로세싱 장치의 하나 이상의 프로세서들이 메인 메모리에 포함된 명령어들의 시퀀스들을 실행하는 컨트롤러 마이크로프로세서로서 이용될 수도 있다. 다른 실시예들에서는, 고정 배선된(hard-wired) 회로를 소프트웨어 명령어들 대신에 또는 소프트웨어 명령어들과 함께 사용할 수도 있다. 이와 같이, 하드웨어 회로와 소프트웨어의 임의의 특정 조합으로 실시예들이 제한되지 않는다.
컨트롤러(70)는, 본 발명의 기술내용에 따라 프로그램된 명령어들을 유지하기 위한 그리고 데이터 구조들, 테이블들, 레코드들, 또는 본 발명을 구현하는데 필요할 수도 있는 다른 데이터를 포함하기 위해, 컨트롤러 메모리와 같은, 하나 이상의 컴퓨터 판독가능 매체 또는 메모리를 포함한다. 컴퓨터 판독가능 매체들의 예로는 컴팩트 디스크들, 하드 디스크들, 플로피 디스크들, 테이프, 광-자기 디스크들(magneto-optical disks), PROM들(EPROM, EEPROM, 플래시 EPROM), DRAM, SRAM, SDRAM 또는 임의의 다른 자기 매체, CD들(예를 들어, CD-ROM) 또는 임의의 다른 광학 매체, 천공 카드들, 종이 테이프 또는 홀(hole) 패턴을 갖는 기타 물리적 매체, (후술하는)반송파, 또는 컴퓨터가 판독할 수 있는 다른 임의의 매체를 들 수 있다.
본 발명은, 컴퓨터 판독가능 매체들 중 어느 하나 또는 컴퓨터 판독가능 매체들의 조합에 저장된 것들로서, 컨트롤러(70)를 제어하고, 본 발명을 구현하기 위한 디바이스 또는 디바이스들을 구동하며, 및/또는 컨트롤러가 인간 사용자와 상호 작용하는 것을 가능케 하기 위한 소프트웨어를 포함한다. 그러한 소프트웨어로는, 디바이스 드라이버들, 오퍼레이팅 시스템들, 개발 도구들, 및 애플리케이션 소프트웨어를 들 수도 있지만, 이에 제한되는 것은 아니다. 이러한 컴퓨터 판독가능 매체들은, 본 발명의 구현 시에 수행되는 프로세싱의 전부 또는 (프로세싱이 분산된다면)일부분을 수행하기 위해 본 발명의 컴퓨터 프로그램 제품을 더 포함한다.
본 발명의 컴퓨터 코딩 디바이스들은, 스크립트들, 인터프리트 방식의 프로그램들, DLL들(Dynamic Link Libraries), 자바 클래스들, 및 완전한 실행가능 프로그램들을 포함하지만, 이들에 제한되는 것은 아닌, 임의의 인터프리트 방식 또는 실행 가능한 코드 메커니즘일 수도 있다. 또한, 본 발명의 프로세싱의 부분들은 좀 더 양호한 성능, 신뢰도, 및/또는 비용을 위해 분산될 수도 있다.
여기에서 사용되는 "컴퓨터 판독가능 매체" 용어는 실행을 위해 명령어들을 컨트롤러(70)의 프로세서에 제공하는데 관여하는 임의의 매체를 의미한다. 컴퓨터 판독가능 매체는 비휘발성 매체들, 휘발성 매체들, 및 전송 매체들을 포함하지만, 이들에 제한되는 것은 아닌, 여러 형태를 취할 수도 있다. 비휘발성 매체들은, 예를 들어 하드 디스크 또는 분리형 매체 드라이브와 같은, 광학, 자기 디스크들, 및 광-자기 디스크들을 포함한다. 휘발성 매체들은 메인 메모리와 같은, 동적 메모리를 포함한다. 또한, 다양한 형태의 컴퓨터 판독가능 매체들이 실행을 위해 하나 이상 명령어들의 하나 이상 시퀀스들을 컨트롤러의 프로세서에 전달하는데 관련될 수도 있다. 예를 들어, 명령어들은 처음에 원격 컴퓨터의 자기 디스크 상에 전달될 수도 있다. 원격 컴퓨터는 본 발명의 전부 또는 일부분을 구현하기 위한 명령어들을 동적 메모리로 원격적으로 로딩하고 그 명령어들을 네트워크를 통해 컨트롤러(70)에 송신할 수 있다.
컨트롤러(70)는 증착 시스템(1, 1')과 관련하여 국지적으로 배치될 수 있거나, 증착 시스템(1, 1')과 관련하여 원격적으로 배치될 수도 있다. 예를 들어, 컨트롤러(70)는 직접 접속, 인트라넷, 인터넷, 및 무선 접속 중 적어도 하나를 사용하여 증착 시스템(1, 1')과 데이터를 교환할 수도 있다. 컨트롤러(70)는, 예를 들어 커스터머 사이트(customer site)(즉, 디바이스 메이커 등)에서 인트라넷에 연결될 수 있거나, 예를 들어 벤더 사이트(vendor site)(즉, 장비 제조자)에서 인트라넷에 연결될 수도 있다. 추가적으로, 예를 들어 컨트롤러(70)는 인터넷에 연결될 수도 있다. 또한, 다른 컴퓨터(즉, 컨트롤러, 서버 등)가, 예를 들어 직접 접속, 인트라넷, 및 인터넷 중 적어도 하나를 통해 데이터를 교환하도록 컨트롤러(70)에 액세스할 수도 있다. 당업자라면 알 수 있는 바와 같이, 컨트롤러(70)는 무선 접속을 통해 증착 시스템(1, 1')과 데이터를 교환할 수도 있다.
발명들의 예시적인 소정 실시예들만이 앞서 상세하게 설명하였지만, 본 발명의 새로운 기술내용 및 이점으로부터 실질적으로 벗어나지 않으면서, 예시적 실시예들에서의 다수 변경들이 가능하다는 것을 당업자라면 쉽게 알 수 있을 것이다.
Claims (39)
- 기상 증착 시스템에서 기판 상에 박막을 형성하기 위한 방법에 있어서,상기 기상 증착 시스템의 프로세싱 챔버의 기판 스테이지 상에 기판을 배치하는 단계로서, 상기 프로세싱 챔버는 상기 기판 상의 제1 체적을 가지는 제1 프로세스 공간에 의해 규정된 프로세스 체적을 가지는 기판 배치 단계와,플라즈마 강화 원자층 증착(PEALD) 프로세스를 이용하여 상기 기판 상에 박막을 형성하는 단계를 포함하며,상기 PEALD 프로세스는,상기 제1 프로세스 공간의 하나 이상의 부분을 포함하며, 상기 제1 체적 미만의 제2 체적을 가지며, 상기 프로세싱 챔버 내에 둘러싸인 제2 프로세스 공간을 생성하도록, 하나 이상의 챔버 구성요소의 이동을 통해 상기 프로세싱 챔버의 상기 프로세스 체적을 물리적으로 조정하는 단계;제1 시간 기간 동안에 가스상 막 전구체를 함유하는 제1 프로세스 재료를 상기 제2 프로세스 공간에 도입하는 단계; 및상기 제1 시간 기간 동안에 상기 기판을 상기 제2 프로세스 공간 내의 상기 제1 프로세스 재료에 노출시키는 단계에 의해,상기 기판의 표면에 상기 가스상 막 전구체를 도입하는 단계와,상기 제1 프로세스 공간을 재생성하도록 상기 프로세싱 챔버의 상기 프로세스 체적을 조정하는 단계;제2 프로세스 재료를 상기 제1 프로세스 공간에 도입하는 단계;상기 제2 프로세스 재료로부터 상기 제1 프로세스 공간의 하나 이상의 부분에 플라즈마를 생성하는 단계; 및상기 제1 시간 기간에 이어지는 제2 시간 기간 동안에 상기 기판을 상기 제1 프로세스 공간에 노출시키는 단계에 의해,상기 박막을 형성하도록 상기 기판의 상기 표면 상의 상기 가스상 막 전구체를 상기 플라즈마와 반응시키는 단계를 포함하는 것인 박막 형성 방법.
- 제1항에 있어서, 미리 지정된 두께를 가진 상기 박막을 생성하도록 하나 이상의 증착 사이클 동안에, 상기 기판의 표면에 상기 가스상 막 전구체를 도입하는 단계와 상기 기판의 상기 표면 상의 상기 가스상 막 전구체를 상기 플라즈마와 반응시키는 단계를 반복하는 단계를 더 포함하는 박막 형성 방법.
- 제1항에 있어서, 상기 제1 프로세스 공간을 재생성하도록 상기 프로세싱 챔버의 상기 프로세스 체적을 조정하는 단계는,상기 기판 스테이지를 상기 플라즈마의 플라즈마 균일성을 향상시키는 위치로 이동시키는 단계를 포함하는 것인 박막 형성 방법.
- 제3항에 있어서, 상기 기판 스테이지를 이동시키는 단계는,상기 기판 스테이지를, 상기 플라즈마 균일성이 기판의 직경 상에서 2% 더 우수한 위치에 설정하는 단계를 포함하는 것인 박막 형성 방법.
- 제4항에 있어서, 상기 기판 스테이지를 이동시키는 단계는,상기 기판 스테이지를, 상기 플라즈마 균일성이 기판의 직경 상에서 1% 더 우수한 위치에 설정하는 단계를 포함하는 것인 박막 형성 방법.
- 제1항에 있어서, 상기 박막은 탄탈막, 탄탈 질화물막 및 탄탈 탄소질화물막 중 하나 이상을 포함하는 것인 박막 형성 방법.
- 제1항에 있어서, 상기 박막은 금속막, 금속 산화물막, 금속 질화물막, 금속 규화물막 및 금속 탄소질화물막 중 하나 이상, 또는 이들 막의 임의의 조합을 포함하는 것인 박막 형성 방법.
- 제1항에 있어서, 상기 박막은 Cu막, Al막, 지르코늄 산화물막, 하프늄 산화물막, 실리콘 산화물막, 실리콘 질화물막, 티타늄 질화물막 및 GaN막 중 하나 이상, 또는 이들 막의 임의의 조합을 포함하는 것인 박막 형성 방법.
- 제1항에 있어서, 상기 가스상 막 전구체를 도입하는 단계는,상기 가스상 막 전구체를, 차폐물로 둘러싸인 기판 상의 영역 내에 도입하는 단계를 포함하는 것인 박막 형성 방법.
- 제9항에 있어서, 상기 가스상 막 전구체를 도입하는 단계 후에, 상기 차폐물의 홀(hole)을 통해 상기 가스상 막 전구체를 펌핑(pupimg)함으로써 기판 상의 영역으로부터 상기 막 전구체를 배기시키는 단계를 더 포함하는 박막 형성 방법.
- 제1항에 있어서, 상기 플라즈마를 생성하는 단계는,0.1㎒ 내지 100㎒의 주파수의 RF 에너지를 인가하는 단계를 포함하는 것인 박막 형성 방법.
- 제11항에 있어서, 상기 플라즈마를 생성하는 단계는,10W/㎠ 미만의 전력 밀도를 갖는 플라즈마를 생성하는 단계를 포함하는 것인 박막 형성 방법.
- 제11항에 있어서, 상기 플라즈마를 생성하는 단계는,1W/㎠ 미만의 전력 밀도를 갖는 플라즈마를 생성하는 단계를 포함하는 것인 박막 형성 방법.
- 제11항에 있어서, 상기 플라즈마를 생성하는 단계는,20초 미만의 시간 동안 상기 플라즈마를 지속시키는 단계를 더 포함하는 것인 박막 형성 방법.
- 제11항에 있어서, 상기 플라즈마를 생성하는 단계는,5초 미만의 시간 동안 상기 플라즈마를 지속시키는 단계를 더 포함하는 것인 박막 형성 방법.
- 제1항에 있어서, 상기 가스상 막 전구체를 도입하는 단계 후에 퍼지 가스를 도입하는 단계를 더 포함하는 박막 형성 방법.
- 제1항에 있어서, 상기 플라즈마를 생성하는 단계는,적어도 플라즈마 생성 동안 기판 바이어스를 상기 기판에 제공하는 단계를 더 포함하는 것인 박막 형성 방법.
- 제17항에 있어서, 상기 기판 바이어스를 제공하는 단계는,0.1㎒ 내지 100㎒의 주파수의 RF 전압과 DC 전압 중 하나 이상으로 기판을 바이어스하는 단계를 포함하는 것인 박막 형성 방법.
- 기판 프로세싱 시스템의 프로세서에서의 실행을 위한 프로그램 명령어들을 포함하는 컴퓨터 판독가능 매체에 있어서, 상기 프로세서에 의해 실행되는 경우, 상기 기판 프로세싱 시스템으로 청구항 제1항 내지 제18항 중 어느 한 항에 따른 방법을 수행하게 하는, 프로그램 명령어들을 포함하는 컴퓨터 판독가능 매체.
- 기판 상에서의 박막 기상 증착을 위한 시스템에 있어서,제1 체적을 갖는 제1 프로세스 공간을 포함하는 프로세싱 챔버;상기 프로세싱 챔버에 결합되고, 기판을 지지하고 상기 기판을 상기 제1 프로세스 공간에 노출시키도록 구성되는 기판 스테이지;상기 프로세싱 챔버에 결합되고, 상기 제1 프로세스 공간의 하나 이상의 부분에서 플라즈마를 생성하도록 구성되는 플라즈마 생성 시스템;상기 프로세싱 챔버에 결합되고, 상기 제1 프로세스 공간의 하나 이상의 부분을 포함하고 상기 제1 체적 미만의 제2 체적을 가지는 제2 프로세스 공간을 생성하도록 구성되는 프로세스 체적 조정 기구로서, 상기 기판은 상기 제2 프로세스 공간에 노출되는 것인 프로세스 체적 조정 기구; 및상기 프로세싱 챔버에 결합되고 상기 제1 프로세스 공간의 하나 이상의 부분에서 가스를 배기하도록 구성되는 진공 펌핑 시스템을 포함하는 박막 기상 증착 시스템.
- 제20항에 있어서,가스 공급 입구를 갖는 제1 챔버 어셈블리와,상기 기판 스테이지를 지지하고, 상기 프로세싱 챔버의 배기를 위해 구성된 상기 진공 펌핑 시스템을 지지하도록 구성된 제2 챔버 어셈블리를 더 포함하는 박막 기상 증착 시스템.
- 제21항에 있어서,상기 제1 프로세스 공간은 상기 기판 스테이지의 최상부로부터 상기 제1 챔버 어셈블리 상의 가스 공급 입구까지 20㎜ 이하의 간격으로 부분적으로 형성되고,상기 제2 프로세스 공간은 상기 기판 스테이지의 최상부로부터 상기 제1 챔버 어셈블리 상의 가스 공급 입구까지 20㎜ 이상의 간격으로 부분적으로 형성되는 것인 박막 기상 증착 시스템.
- 제20항에 있어서, 상기 제2 프로세스 공간은 폭에 대한 높이의 종횡비가 0.1 이상 10 이하인 공간을 포함하는 것인 박막 기상 증착 시스템.
- 제20항에 있어서, 상기 제2 프로세스 공간은 폭에 대한 높이의 종횡비가 0.5 이상 10 이하인 공간을 포함하는 것인 박막 기상 증착 시스템.
- 제20항에 있어서, 상기 제1 프로세스 공간의 주위 엣지를 둘러싸도록 구성된 차폐물을 더 포함하는 박막 기상 증착 시스템.
- 제25항에 있어서, 상기 차폐물은 천공된 차폐물을 포함하는 것인 박막 기상 증착 시스템.
- 제25항에 있어서, 상기 기판 스테이지는 상기 차폐물의 주위 엣지와 접촉하도록 구성된 주위 립부를 가지는 것인 박막 기상 증착 시스템.
- 제27항에 있어서, 상기 주위 립부는 주위 엣지에 대해 밀봉을 형성하도록 구성되는 것인 박막 기상 증착 시스템.
- 제20항에 있어서, 상기 프로세싱 챔버는, 금속막, 금속 산화물막, 금속 질화물막, 금속 규화물막 및 금속 탄소질화물막 중 하나 이상, 또는 이들 막의 임의의 조합의 원자층 증착을 위해 구성되는 것인 박막 기상 증착 시스템.
- 제20항에 있어서, 상기 프로세싱 챔버는, 지르코늄 산화물막, 하프늄 산화물막, 실리콘 산화물막, 실리콘 질화물막, 티타늄 질화물막, 탄탈 질화물막, 탄탈 탄소질화물막, Cu막, Al막, Zn막, Ta막, Ti막, W막, 텅스텐 질화물막 및 GaN막 중 하나 이상, 또는 이들 막의 임의의 조합의 원자층 증착을 위해 구성되는 것인 박막 기상 증착 시스템.
- 제20항에 있어서, 0.1㎒ 내지 100㎒의 주파수의 RF 에너지를 출력하도록 구성된 RF 전원을 더 포함하는 박막 기상 증착 시스템.
- 제31항에 있어서, 상기 RF 전원에 접속되고, 상기 RF 에너지를 상기 제1 프로세스 공간 및 제2 프로세스 공간 중 하나 이상에 공급하도록 구성된 전극을 더 포함하는 박막 기상 증착 시스템.
- 제20항에 있어서, 0.1㎒ 내지 100㎒의 주파수의 RF 전압과 DC 전압 중 적어도 하나를 출력하도록 구성된 바이어스 공급장치(bias supply)를 더 포함하는 박막 기상 증착 시스템.
- 제33항에 있어서, 상기 기판에 바이어스를 인가하도록 구성되고, RF 바이어스 공급장치에 접속되며, 상기 RF 전압을 상기 기판 상에 공급하도록 구성된 전극을 더 포함하는 박막 기상 증착 시스템.
- 제20항에 있어서, 상기 프로세싱 챔버 내에서 프로세스를 제어하도록 구성된 컨트롤러를 더 포함하는 박막 기상 증착 시스템.
- 삭제
- 삭제
- 삭제
- 삭제
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US11/281,342 US7897217B2 (en) | 2005-11-18 | 2005-11-18 | Method and system for performing plasma enhanced atomic layer deposition |
US11/281,342 | 2005-11-18 | ||
PCT/US2006/043545 WO2007061633A2 (en) | 2005-11-18 | 2006-11-09 | Method and system for performing plasma enhanced atomic layer deposition |
Publications (2)
Publication Number | Publication Date |
---|---|
KR20080070072A KR20080070072A (ko) | 2008-07-29 |
KR101351657B1 true KR101351657B1 (ko) | 2014-02-17 |
Family
ID=38053869
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1020087014738A KR101351657B1 (ko) | 2005-11-18 | 2006-11-09 | 박막 형성 방법, 컴퓨터 판독가능 매체 및 박막 기상 증착 시스템 |
Country Status (6)
Country | Link |
---|---|
US (1) | US7897217B2 (ko) |
JP (1) | JP5312036B2 (ko) |
KR (1) | KR101351657B1 (ko) |
CN (1) | CN101535524B (ko) |
TW (1) | TWI366609B (ko) |
WO (1) | WO2007061633A2 (ko) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102716357B1 (ko) | 2019-08-22 | 2024-10-15 | 주식회사 원익아이피에스 | 박막 증착 장치 및 방법 |
Families Citing this family (357)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040036129A1 (en) * | 2002-08-22 | 2004-02-26 | Micron Technology, Inc. | Atomic layer deposition of CMOS gates with variable work functions |
US7473637B2 (en) | 2005-07-20 | 2009-01-06 | Micron Technology, Inc. | ALD formed titanium nitride films |
KR100706803B1 (ko) * | 2006-01-19 | 2007-04-12 | 삼성전자주식회사 | 반도체 소자 및 그의 형성 방법 |
US7947579B2 (en) * | 2006-02-13 | 2011-05-24 | Stc.Unm | Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition |
US8440268B2 (en) * | 2006-03-30 | 2013-05-14 | Mitsui Engineering & Shipbuilding Co., Ltd. | Method and apparatus for growing plasma atomic layer |
US8026168B2 (en) * | 2007-08-15 | 2011-09-27 | Tokyo Electron Limited | Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming |
US8039052B2 (en) * | 2007-09-06 | 2011-10-18 | Intermolecular, Inc. | Multi-region processing system and heads |
US20090246952A1 (en) * | 2008-03-28 | 2009-10-01 | Tokyo Electron Limited | Method of forming a cobalt metal nitride barrier film |
US7704884B2 (en) * | 2008-04-11 | 2010-04-27 | Micron Technology, Inc. | Semiconductor processing methods |
US7985680B2 (en) * | 2008-08-25 | 2011-07-26 | Tokyo Electron Limited | Method of forming aluminum-doped metal carbonitride gate electrodes |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
CA2653581A1 (en) | 2009-02-11 | 2010-08-11 | Kenneth Scott Alexander Butcher | Migration and plasma enhanced chemical vapour deposition |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5668294B2 (ja) * | 2010-02-23 | 2015-02-12 | 凸版印刷株式会社 | ガスバリアフィルムおよびその製造方法 |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9287113B2 (en) | 2012-11-08 | 2016-03-15 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
JP5839804B2 (ja) * | 2011-01-25 | 2016-01-06 | 国立大学法人東北大学 | 半導体装置の製造方法、および半導体装置 |
JP2012182303A (ja) * | 2011-03-01 | 2012-09-20 | Toppan Printing Co Ltd | 太陽電池バックシート |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
WO2013043330A1 (en) * | 2011-09-23 | 2013-03-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
CN103031546B (zh) * | 2011-09-29 | 2016-01-20 | 中国科学院微电子研究所 | 一种原子层沉积设备及其使用方法 |
CN103031545B (zh) * | 2011-09-29 | 2014-10-22 | 中国科学院微电子研究所 | 一种沉积室容积可调节的原子层沉积设备 |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
TW201408810A (zh) * | 2012-07-12 | 2014-03-01 | Applied Materials Inc | 用於沉積貧氧金屬膜的方法 |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9355839B2 (en) | 2012-10-23 | 2016-05-31 | Lam Research Corporation | Sub-saturated atomic layer deposition and conformal film deposition |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9556516B2 (en) * | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
JP6225837B2 (ja) | 2014-06-04 | 2017-11-08 | 東京エレクトロン株式会社 | 成膜装置、成膜方法、記憶媒体 |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
CN105369218B (zh) * | 2014-08-06 | 2019-02-01 | 成均馆大学校产学协力团 | 无机薄膜的高速沉积方法以及用于所述方法的装置 |
CN105369222B (zh) * | 2014-08-06 | 2019-02-01 | 成均馆大学校产学协力团 | 包含多种前体的无机薄膜的制备方法及用于该方法的装置 |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
JP6334369B2 (ja) | 2014-11-11 | 2018-05-30 | 株式会社日立ハイテクノロジーズ | プラズマ処理装置およびプラズマ処理方法 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
JP6354539B2 (ja) * | 2014-11-25 | 2018-07-11 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法、記憶媒体 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9875890B2 (en) * | 2015-03-24 | 2018-01-23 | Lam Research Corporation | Deposition of metal dielectric film for hardmasks |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10121655B2 (en) | 2015-11-20 | 2018-11-06 | Applied Materials, Inc. | Lateral plasma/radical source |
TWI726951B (zh) * | 2015-12-17 | 2021-05-11 | 美商應用材料股份有限公司 | 處理氮化物膜之方法 |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
CN106756885A (zh) * | 2016-12-27 | 2017-05-31 | 中国科学院微电子研究所 | 一种可变电场调制的远程等离子体原子层沉积系统 |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
WO2019103610A1 (en) | 2017-11-27 | 2019-05-31 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10822699B2 (en) | 2017-12-29 | 2020-11-03 | Varian Semiconductor Equipment Associates, Inc. | Techniques for controlling precursors in chemical deposition processes |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
JP7124098B2 (ja) | 2018-02-14 | 2022-08-23 | エーエスエム・アイピー・ホールディング・ベー・フェー | 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
KR20190129718A (ko) | 2018-05-11 | 2019-11-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조 |
JP7126381B2 (ja) | 2018-05-21 | 2022-08-26 | 東京エレクトロン株式会社 | 成膜装置および成膜方法 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
TW202409324A (zh) | 2018-06-27 | 2024-03-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於形成含金屬材料之循環沉積製程 |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
TWI844567B (zh) | 2018-10-01 | 2024-06-11 | 荷蘭商Asm Ip私人控股有限公司 | 基材保持裝置、含有此裝置之系統及其使用之方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TWI845607B (zh) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
WO2020222853A1 (en) | 2019-05-01 | 2020-11-05 | Lam Research Corporation | Modulated atomic layer deposition |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
CN110218988A (zh) * | 2019-06-10 | 2019-09-10 | 浙江水晶光电科技股份有限公司 | Ar膜制备装置、ar膜制备方法及ar膜 |
JP7300898B2 (ja) | 2019-06-11 | 2023-06-30 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
CN112424911B (zh) | 2019-06-20 | 2023-09-22 | 株式会社日立高新技术 | 等离子体处理装置以及等离子体处理方法 |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
WO2021155531A1 (en) | 2020-02-06 | 2021-08-12 | Applied Materials, Inc. | Method and apparatus for tuning film properties during thin film deposition |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11901222B2 (en) * | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
FR3107782B1 (fr) * | 2020-02-27 | 2023-10-27 | Commissariat Energie Atomique | Procédé de réalisation d’une couche à base de nitrure d’aluminium (AlN) sur une structure à base de silicium ou de matériaux III-V |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
JP2021172884A (ja) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
TW202147543A (zh) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 半導體處理系統 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US11672184B2 (en) * | 2020-08-14 | 2023-06-06 | United Microelectronics Corp. | Magnetic tunnel junction (MTJ) device and manufacturing method thereof |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TWI769631B (zh) * | 2020-12-21 | 2022-07-01 | 天虹科技股份有限公司 | 原子層沉積裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
CN117321504A (zh) * | 2021-04-23 | 2023-12-29 | 恩特格里斯公司 | 用于低曝光剂量euv辐射的高量子效率干式抗蚀剂 |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
US20230047186A1 (en) * | 2021-08-13 | 2023-02-16 | Nano-Master, Inc. | Apparatus and Methods for Roll-to-Roll (R2R) Plasma Enhanced/Activated Atomic Layer Deposition (PEALD/PAALD) |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
CN114381710A (zh) * | 2022-01-17 | 2022-04-22 | 西安交通大学 | 一种GaN薄膜的制备方法、GaN薄膜及其应用 |
CN117431525A (zh) * | 2022-04-01 | 2024-01-23 | 杭州芯傲光电有限公司 | 氮化硅膜的制备方法 |
Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2004085703A1 (ja) | 2003-03-25 | 2004-10-07 | Tokyo Electron Limited | 処理装置及び処理方法 |
JP2005082888A (ja) | 2003-09-11 | 2005-03-31 | Tokyo Electron Ltd | 成膜装置 |
JP2005248231A (ja) | 2004-03-03 | 2005-09-15 | Tokyo Electron Ltd | 成膜方法 |
Family Cites Families (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5735960A (en) * | 1996-04-02 | 1998-04-07 | Micron Technology, Inc. | Apparatus and method to increase gas residence time in a reactor |
US6841203B2 (en) * | 1997-12-24 | 2005-01-11 | Tokyo Electron Limited | Method of forming titanium film by CVD |
US6462483B1 (en) * | 1999-11-18 | 2002-10-08 | Nano-Architect Research Corporation | Induction plasma processing chamber |
US6350317B1 (en) * | 1999-12-30 | 2002-02-26 | Lam Research Corporation | Linear drive system for use in a plasma processing system |
AU2002211730A1 (en) | 2000-10-16 | 2002-04-29 | Tokyo Electron Limited | Plasma reactor with reduced reaction chamber |
US6630201B2 (en) * | 2001-04-05 | 2003-10-07 | Angstron Systems, Inc. | Adsorption process for atomic layer deposition |
US6998014B2 (en) * | 2002-01-26 | 2006-02-14 | Applied Materials, Inc. | Apparatus and method for plasma assisted deposition |
-
2005
- 2005-11-18 US US11/281,342 patent/US7897217B2/en not_active Expired - Fee Related
-
2006
- 2006-11-09 KR KR1020087014738A patent/KR101351657B1/ko not_active IP Right Cessation
- 2006-11-09 JP JP2008541231A patent/JP5312036B2/ja not_active Expired - Fee Related
- 2006-11-09 WO PCT/US2006/043545 patent/WO2007061633A2/en active Application Filing
- 2006-11-09 CN CN2006800513580A patent/CN101535524B/zh not_active Expired - Fee Related
- 2006-11-15 TW TW095142257A patent/TWI366609B/zh not_active IP Right Cessation
Patent Citations (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2004085703A1 (ja) | 2003-03-25 | 2004-10-07 | Tokyo Electron Limited | 処理装置及び処理方法 |
JP2005082888A (ja) | 2003-09-11 | 2005-03-31 | Tokyo Electron Ltd | 成膜装置 |
JP2005248231A (ja) | 2004-03-03 | 2005-09-15 | Tokyo Electron Ltd | 成膜方法 |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR102716357B1 (ko) | 2019-08-22 | 2024-10-15 | 주식회사 원익아이피에스 | 박막 증착 장치 및 방법 |
Also Published As
Publication number | Publication date |
---|---|
WO2007061633A2 (en) | 2007-05-31 |
WO2007061633A3 (en) | 2009-04-23 |
JP2009521594A (ja) | 2009-06-04 |
CN101535524B (zh) | 2013-03-06 |
TW200730661A (en) | 2007-08-16 |
JP5312036B2 (ja) | 2013-10-09 |
TWI366609B (en) | 2012-06-21 |
US7897217B2 (en) | 2011-03-01 |
KR20080070072A (ko) | 2008-07-29 |
CN101535524A (zh) | 2009-09-16 |
US20070116887A1 (en) | 2007-05-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR101351657B1 (ko) | 박막 형성 방법, 컴퓨터 판독가능 매체 및 박막 기상 증착 시스템 | |
JP5101868B2 (ja) | シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム | |
KR101277036B1 (ko) | 기판 상에 증착물을 형성하는 증착 시스템 | |
JP5209197B2 (ja) | 熱およびプラズマ増強蒸着のための装置および操作方法 | |
US7794546B2 (en) | Sealing device and method for a processing system | |
US7670432B2 (en) | Exhaust system for a vacuum processing system | |
US8454749B2 (en) | Method and system for sealing a first assembly to a second assembly of a processing system | |
US7338901B2 (en) | Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition | |
US8815014B2 (en) | Method and system for performing different deposition processes within a single chamber | |
US7740705B2 (en) | Exhaust apparatus configured to reduce particle contamination in a deposition system | |
US7422636B2 (en) | Plasma enhanced atomic layer deposition system having reduced contamination | |
US7341959B2 (en) | Plasma enhanced atomic layer deposition system and method | |
US8486845B2 (en) | Plasma enhanced atomic layer deposition system and method | |
WO2006101857A2 (en) | A plasma enhanced atomic layer deposition system and method | |
WO2006101619A2 (en) | A deposition system and method | |
CN101205605B (zh) | 用于热增强和等离子体增强气相沉积的装置及操作方法 | |
KR101281863B1 (ko) | 증착 시스템 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E902 | Notification of reason for refusal | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
LAPS | Lapse due to unpaid annual fee |