JP5101868B2 - シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム - Google Patents

シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム Download PDF

Info

Publication number
JP5101868B2
JP5101868B2 JP2006309130A JP2006309130A JP5101868B2 JP 5101868 B2 JP5101868 B2 JP 5101868B2 JP 2006309130 A JP2006309130 A JP 2006309130A JP 2006309130 A JP2006309130 A JP 2006309130A JP 5101868 B2 JP5101868 B2 JP 5101868B2
Authority
JP
Japan
Prior art keywords
film
substrate
depositing
processing space
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006309130A
Other languages
English (en)
Other versions
JP2007138295A (ja
Inventor
ジャック・ファーゲ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007138295A publication Critical patent/JP2007138295A/ja
Application granted granted Critical
Publication of JP5101868B2 publication Critical patent/JP5101868B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means

Description

本出願は、米国特許出願シリアル番号11/090,255号、代理人整理番号267366US、クライアント参照番号TTCA19、「プラズマ増強原子層堆積システム」の名称で、現在、米特許出願公報番号2004VVVVVVVVVVに関連し、参照によってその全体の内容はここに引用されるものである。本出願は、米国シリアル番号11/084,176号、代理人整理番号265595US、クライアント参照番号TTCA24、「堆積システムおよび方法」の名称で、現在米国特許出願公報2004VVVVVVVVVVに関連し、参照によってその全体の内容はここに引用されるものである。本出願は、米国特許出願シリアル番号XX/XXX,XXX号、「コンタミネーションの減少を有するプラズマ増強原子層堆積システム」の名称で、クライアント参照番号TTCA27、現在米国特許出願公報番号2004VVVVVVVVVVに関連し、参照によってその全体の内容はここに引用されるものである。本出願は、米国シリアル番号XX/XXX,XXX号、代理人整理番号2274020US、クライアント参照番号TTCA55で、「プラズマ増強原子層堆積のための堆積システムおよび方法」の名称で、現在米国特許出願公報番号2006VVVVVVVVVVに関連し、参照によってその全体の内容はここに引用されるものである。
本発明は、堆積システムおよびその操作方法に関し、より詳しくは、本発明は、材料堆積のための複数の処理空間を有する堆積システムに関する。
一般的に、材料プロセスの間、複合材料構造を製造するときに、プラズマは、材料膜の追加および除去を容易にするように使用される。例えば、半導体プロセスにおいて、ドライプラズマエッチングプロセスは、シリコン基板上の微細線に沿ってまたはビアまたはコンタクト内で材料を除去またはエッチングするために、多くの場合、利用される。別の形態として、例えば、蒸着プロセスは、シリコン基板上の微細線に沿ってまたはビアまたはコンタクト内に材料を堆積させるために利用される。後者において、蒸着プロセスは、化学気相成長(CVD)およびプラズマ増強化学的気相成長(PECVD)を含む。
PECVDにおいて、プラズマは、膜堆積メカニズムを変更するかまたは増強するために利用される。例えば、プラズマ励起は、一般的に、プロセスガスの解離温度近くまたはより上の温度にプロセスガスを熱的に加熱する(プラズマ励起無しで)熱CVDプロセスによって同様の膜を生成することを必要とするそれらより非常に低い温度で進行する膜形成反応を一般に許容する。加えて、プラズマ励起は、熱CVDにおいてエネルギー的にまたは動力学的に充足されていない膜形成化学反応をアクティブにすることができる。PECVD膜の化学および物理的な特性は、それにより、プロセスパラメータを調整することによって、相対的に広い範囲を通して変化されることができる。
近年、原子層堆積(atomic layer deposition:ALD)およびプラズマ増強されたALD(PEALD)は、前工程(FEOL)オペレーションの超極薄ゲート膜形成に対する候補として、同じく後工程(BEOL)オペレーションのメタライゼーションに対する超極薄バリア層およびシード層形成に対する候補として現れた。ALDにおいて、2つまたはより多くのプロセスガス、例えば膜プリカーサおよび還元ガスは、基板が同時に材料膜の単分子層を形成するために加熱されている間に、交互におよびシーケンシャルに導入される。PEALDにおいて、プラズマは、還元プラズマを形成するために、還元ガスの導入の間、形成される。今日まで、ALDおよびPEALDプロセスは、これらのプロセスがそれらCVDおよびPECVDの対照物より遅いにもかかわらず、層が堆積する形態への改良された層厚さの均一性および一様性(conformality)を提供すると証明された。
本発明の1つの目的は、これまでの縮小を続けるライン寸法での半導体プロセスに関し、一様性、密着性、および純度がその結果として半導体デバイスに影響を及ぼすますます重要な問題になっているさまざまな課題を対象にすることに向けられる。
本発明の別の目的は、その後の堆積される材料層のインターフェース間のコンタミネーション問題を減らすことである。
本発明の別の目的は、異なる堆積プロセスに適応するためにプロセスボリュームサイズを変えることが可能な堆積システムを提供することである。
本発明の別の目的は、同じシステム内で、蒸着(vapor deposition)プロセスおよびプラズマ増強蒸着プロセスに対する互換性がある構成を提供することである。
本発明のこれらおよび/または他の目的のバリエーションは、本発明の特定の実施形態によって提供される。
本発明の一実施態様において、方法は、基板を処理するために提供され、上記方法は、基板より上に規定される処理空間(process space)を有する蒸着システムに基板を配置することと、第1の蒸着プロセスに係る処理空間に第1のプロセスガス組成を導入することと、基板上に第1の膜を堆積させることと、第1の処理空間からサイズが異なる第2の処理空間に第2のプロセスガス組成を導入することと、第2のプロセスガス組成から基板上に第2の膜を堆積させることとを含む。
本発明の別の実施形態において、基板上への薄膜蒸着のシステムは、第1のボリュームを有する第1の処理空間を有する処理チャンバを有する。処理チャンバは、第1の処理空間の少なくとも一部を含み、かつ、第1のボリュームと異なる第2のボリュームを有する第2の処理空間を更に含む。第1の処理空間は、第1の化学気相成長のために構成され、かつ、第2の処理空間は、第2の化学気相成長のために構成される。
以下の説明では、完全な本発明の理解を容易にするために、並びに説明およびそれ以外の目的のために、堆積システムおよび各種コンポーネントの内容の特定の幾何配置のような具体的な詳細は、記載される。しかしながら、本発明がこれらの具体的な詳細から逸脱する他の実施形態において実施されることができると理解されるべきである。
図面を参照すると、参照番号がいくつかの図の全体にわたって同一であるか対応する部品を示すようになされ、図1は、蒸着プロセス、例えば化学気相成長(CVD)プロセス、プラズマ増強CVD(PECVD)プロセス、原子層堆積(ALD)プロセス、またはプラズマ増強ALD(PEALD)プロセスを使用して、基板上に薄膜、例えばバリア膜を堆積させるための堆積システム1を示す。配線工程(BEOL)オペレーションにおける半導体デバイスに対する相互接続(inter−connection)および内部接続(intra―connect)構造のメタライゼーションにおいて、薄い一様な(conformal)バリア層は、層間または同層間誘電体内の金属のマイグレーションを最小にするためにトレンチまたはビアを配線する上に堆積されることができ、薄い一様なシード層は、バルク金属の埋め込みに対する許容できる密着性を有する膜を提供するためにトレンチまたはビアを配線する上に堆積されることができ、および/または、薄い一様な密着層は、金属シード堆積に対する許容できる密着性を有する膜を提供するためにトレンチまたはビアを配線する上に堆積されることができる。これらのプロセスに加えて、銅のようなバルク金属は、トレンチまたはビアを配線する内部に堆積されなければならない。
しばしば、バックエンドメタライゼーションスキームにおける薄い一様な膜、すなわちバリア層またはシード層のために、層間または同層間誘電体の上の初期の薄い一様な膜を堆積させるときに、プラズマ無し堆積プロセス、例えば熱蒸着プロセスを使用することは、望ましい。特に、この誘電体層は、低い誘電率(low−k)材料を含み、プラズマにさらすことによって、low−k層にダメージが生じることがあり得て、それは、例えば、膜の誘電率を増加させる影響を及ぼし得る。初期層を堆積させるために熱蒸着プロセスを使用した後に、プラズマアシストされた堆積プロセスは、堆積速度または膜モホロジまたは両方とも改良するために利用されることができる。
シングルチャンバがプロセス要求の全てに適応することできなかったように、過去のこれらのプロセスは一般的に、各々のこれらのプロセスの特定の必要性にカスタマイズされる別個のチャンバを必要とすることがあり得た。例えば、薄膜バリア層は、良好な一様性を提供するために、自己限定的な(self−limited)ALDプロセスで好ましく実行される。ALDが交互に異なるプロセスガスを必要とするので、堆積は、相対的に遅い堆積速度で起こる。本発明の発明者は、小さい処理空間ボリューム内での熱ALDプロセスの実行が急速なガス注入と、交互ガスの排気とを許容し、それらがALDサイクルを短くすることを認識した。他方、金属、例えばタンタル、チタン、タングステンまたは銅は、交互のガスフローを必ずしも必要とするというわけではない熱CVDプロセスによって、より高速な堆積速度で堆積することができる。このプロセスにおいて、材料のより均一な堆積を提供するために、より大きい処理空間ボリュームを使用することは、有益であり得る。別の例として、上記、基板上に1つ以上の層を堆積することは、プラズマプロセス、同様にプラズマ無しプロセスを含むことができる。本発明の発明者は、より大きい処理空間ボリュームが均一なプラズマを維持することを必要とする一方、プラズマ無しプロセスが、スループットを増加させ、および/またはプロセスガスを維持する利益を小さい処理空間ボリュームから得ることができると認識した。
別個のチャンバの必要性は、多数の堆積ユニットによる費用を加え、プロセスウェハのシステム間の移送による製造プロセスの時間が付け加わり、かつ、(複数の堆積ユニット間の移送による)さらされたインターフェースのコンタミネーションを、予防法または救済索を介して対象にされなければならなかった課題とし、このことにより多くの費用および複雑さを製造プロセスに加える。
図1において、本発明の1つの実施形態に係る堆積システム1は、薄膜が形成される基板25を支持するように構成された基板ステージ20を有する処理チャンバ10を含む。加えて、図1にて図示したように、堆積システム1は、処理チャンバ10および基板ステージ20に組み合わせられ、並びに、基板25に隣接する処理空間のボリュームを調整するように構成されたプロセスボリューム調整システム80を含む。例えば、プロセスボリューム調整システム80は、第1のボリュームを有する第1の処理空間85(図1を参照)を形成する第1の位置と、第2のボリュームを有する第2の処理空間85’(図2を参照)を形成する第2の位置との間で基板ステージ20を垂直に移動するように構成されることができる。
図1および図2にて図示したように、堆積システム1は、基板ステージ20に組み合わせられ、並びに、基板25の温度を上昇させ、制御するように構成された基板温度コントロールシステム60を含むことができる。基板温度コントロールシステム60は、温度コントロール素子、例えば、基板ステージ20から熱を受け、そして熱交換器システム(図示せず)へ熱を移送し、加熱するときには、熱交換器システムから熱を移送する再循環クーラントフローを有する冷却システムを含むことができる。加えて、温度コントロール素子は、加熱/冷却素子、例えば抵抗加熱部材を含むことができ、また、熱電式ヒータ/冷却器は、堆積システム1内で基板ステージ20、同じく処理チャンバ10のチャンバ壁、および他のいかなるコンポーネント内にも含まれることができる。
基板25と、基板ステージ20との間の熱移送を改良するために、基板ステージ20は、基板ステージ20の上面に、基板25を固定するために、機械的なクランピングシステム、または電気的なクランピングシステム、例えば静電クランピングシステムを含むことができる。さらにまた、基板ステージ20は、基板25と、基板ステージ20との間のガスギャップ熱伝導を改良するために、基板25の裏面にガスを導入するために構成された基板裏面ガス給送システムを更に含むことができる。基板の温度コントロールが上昇された、または下げられた温度が必要なときに、このようなシステムは利用されることができる。例えば、基板裏面ガスシステムは、2−ゾーンガス分配システムを含むことができ、そこにおいて、ヘリウムガスギャップ圧力は、基板25のセンターと、エッジとの間で独立して変化されることができる。
基板ステージを真空内で(in vacuo)移動する機構と、基板温度コントロールシステム60のための内部機構と一緒に基板ステージ20は、処理チャンバ10の下部チャンバアセンブリを構成することができる。
処理チャンバ10は、第1のプロセス材料ガス供給システム40と、第2のプロセス材料ガス供給システム42と、パージガス供給システム44とに組み合わせられる上部チャンバアセンブリ30を更に含むことができる。このように、上部チャンバアセンブリ30は、処理空間85に第1のプロセス材料および第2のプロセス材料を提供することができる。シャワーヘッドデザインは、周知のように、処理空間85に均等に第1および第2のプロセスガス材料を分配するために用いることができる。典型的なシャワーヘッドは、係属中の米国特許出願公報番号20040123803号において更に詳細に記載されている。そして、それの全体の内容は、その全体の参照によってここに取り入れられる。そして先の米国特許出願シリアル番号11/090,255号を参照することにより、ここに取り入れられる。
堆積システム1は、200mm基板、300mm基板、またはより大きいサイズの基板を処理するように構成されることができる。事実、当業者によって理解されるように、本発明に記載されている堆積システムがそれらのサイズを問わず、基板、ウェハ、またはLCDを処理するように構成されることができることは、意図される。基板は、処理チャンバ10に導入されることができ、および、基板は、基板リフトシステム(図示せず)を介して基板ステージ20の上面まで上げられることができる。
本発明の1つの実施形態に係る、第1のプロセス材料ガス供給システム40と、第2のプロセス材料ガス供給システム42とは、基板25に第1および第2の膜をシーケンシャルに、および、任意に交互に堆積させるために、処理チャンバ10に第1のプロセスガス材料を、処理チャンバ10に第2のプロセスガス材料を、シーケンシャルに、および、任意に交互に導入するように構成されることができる。第1のプロセスガス材料の導入と第2のプロセスガス材料の導入との交替は、周期的であり得て、または、それは、第1および第2のプロセスガス材料の導入の間の可変的な時間によって周期的であり得る。第1および第2のプロセスガス材料は、例えば、ガス状の膜プリカーサ、例えば基板25上に形成される膜内に見つかる主要な原子であるか分子種を有する組成を含むことができる。ガス状の膜プリカーサは、固相、液相または気相として始まることができ、そして、気相で処理チャンバ10に供給されることができる。第1および第2のプロセスガス材料は、例えば、還元ガスを含むことができる。例えば、還元ガスは、固相、液相、または気相をとして始まることができ、そして、気相で処理チャンバ10に供給されることができる。ガス状の膜プリカーサ、および還元ガスの実施例は、下で挙げられる。
第1の膜または第2の膜を形成するために、第1のプロセスガス材料または第2のプロセスガス材料を、それぞれ導入するときに、第1のプロセスガス材料または第2のプロセスガス材料のガス状のコンポーネント、すなわち膜プリカーサおよび還元ガスは、処理チャンバ10に同時に、共に導入されることができる。例えば、膜プリカーサおよび還元ガスは、混合されることができ、または、それらは、処理チャンバ10に導入前には混合されないことができる。別の形態として、第1のプロセスガス材料または第2のプロセスガス材料のガス状のコンポーネントは、処理チャンバ10に、シーケンシャルに、および、交互に導入されることができる。プラズマは、第1のプロセスガス材料および第2のプロセスガス材料を用いて、それぞれ、基板25上に、第1の膜および第2の膜の堆積をアシストするために利用され得たり、また利用され得ない。
第1の材料供給システム40と、第2の材料供給システム42と、パージガス供給システム44とは、1つ以上の材料ソース、1つ以上の圧力制御装置、1つ以上の流量制御装置、1つ以上のフィルタ、1つ以上のバルブ、または1つ以上のフローセンサを含むことができる。流量制御装置は、空気圧駆動バルブ、電子機械的な(ソレノイドの)バルブ、および/または高速パルス化されたガス注入バルブを含むことができる。典型的なパルス化されたガス注入システムは係属中の米国特許出願公開番号20040123803号において更に詳細に記載されている。そして、それの全体の内容は、本願明細書に引用したものとする。
さらに図1を参照して、本発明の1つの実施形態の堆積システム1は、処理チャンバ10に第1のプロセスガス材料および第2のプロセスガス材料のシーケンシャルおよびオプションの交互導入の少なくとも一部の間、プラズマを生成するように構成されたプラズマ発生システムを含むことができる。プラズマ発生システムは、処理チャンバ10に組み合わせられ、第1のプロセスガス材料または第2のプロセスガス材料に、または両方に、または第1のプロセスガス材料のガス状のコンポーネントまたは第2のプロセスガス材料のガス状のコンポーネントにパワーを結合させるように構成された第1の電源50を含むことができる。第1の電源50は、ラジオ周波数(RF)発生器、および、インピーダンス整合ネットワーク(図示せず)を含むことができ、および、RF電力が処理チャンバ10のプラズマに結合する電極(図示せず)を更に含むことができる。電極は、上部アセンブリ30に形成されることができ、および、基板ステージ20に向かい合わせるように構成されることができる。
インピーダンスマッチングネットワークは、電極およびプラズマを含む処理チャンバの入力インピーダンスを、マッチングネットワークの出力インピーダンスに適合させることによってRF発振器からプラズマまでRF電力の移送を最適化するように構成されることができる。例えば、インピーダンスマッチングネットワークは、反射パワーを減らすことによってプラズマ処理チャンバ10のプラズマにRF電力の移送を改良するのに役に立つ。マッチングネットワークトポロジ(例えばL−タイプ、π−タイプ、T−タイプなど)および自動制御方法は、当業者にとって周知である。RF電力のための典型的な周波数は、約0.1MHzから約100MHzまでの範囲である。別の形態として、RF周波数は、例えば、ほぼ400kHzからほぼ60MHzまでの範囲とすることができ、例えば更なる実施例では、RF周波数は、ほぼ13.56または27.12MHzとすることができる。
本発明の1つの実施形態の堆積システム1は、処理チャンバ10に第1のプロセスガス材料および第2のプロセスガス材料の交互および周期的な導入の少なくとも一部の間、プラズマを生成するために構成された基板バイアス発生システムを含むことができる。基板バイアスシステムは、処理チャンバ10に組み合わせられ、および、基板25にパワーを結合するように構成された第2の電源52を含むことができる。第2の電源52は、ラジオ周波数(RF)発生器、および、インピーダンスネットワークを含むことができ、RF電力が基板25に結合される電極を更に含むことができる。電極は、基板ステージ20内に形成されることができる。例えば、基板ステージ20は、直流電圧によって、またはRF発振器(図示せず)からインピーダンス整合ネットワークを通してRF電力の伝達を介したRF電圧で、基板ステージ20に(図示せず)を電気的にバイアスをかけることができる。RFバイアスのための典型的な周波数は、約0.1MHzから約100MHzまでの範囲である。プラズマ処理のRFバイアスシステムは、当業者にとって周知である。代わりとして、RF電力は、複数の周波数で基板ステージ電極に印加されることができる。別の形態として、RF周波数は、例えば、ほぼ400kHzからほぼ60MHzまでの範囲とすることができる。例えば更なる実施例では、RF周波数は、例えば、ほぼ13.56または27.12MHzとすることができる。基板バイアス発生システムは、プラズマ発生システムと異なるかまたは同じ周波数で作動することができる。
プラズマ発生システムおよび基板バイアスシステムが個体として図1において示されるが、これらのシステムは、基板ステージ20に結合される1つ以上の電源を含むことができる。
さらにまた、処理チャンバ10は、ダクト38を通して、例えば真空排気システム34およびバルブ36を含む圧力制御システム32に組み合わせられる。圧力制御システム34は、薄膜を基板25上に形成することに適している圧力に制御可能に処理チャンバ10を排気するように構成され、および、第1および第2のプロセス材料の使用に適している。
真空排気システム34は、1秒あたり約5000リットル(および、より高い)までの排気速度が可能なターボ分子真空ポンプ(TMP)を含むことができ、およびバルブ36は、チャンバ圧力をスロットル制御するためのゲートバルブを含むことができる。ドライプラズマエッチングのために利用される従来のプラズマ処理装置において、1秒あたり1000〜3000リットルのTMPは、通常使用される。さらに、チャンバ圧力(図示せず)のモニタリングのためのデバイスは、処理チャンバ110に組み合わせられることができる。圧力を測定するデバイスは、例えば、MKS Instruments社(アンドーバー、MA)から市販されているタイプ628B Baratron絶対キャパシタンスマノメータであり得る。
ここで図3および図4を参照して、堆積システム1’は、蒸着プロセス、例えば化学気相成長(CVD)プロセス、プラズマ増強CVD(PECVD)プロセス、原子層堆積(ALD)プロセス、または本発明の他の実施形態に係るプラズマ増強ALD(PEALD)プロセスを使用して、基板上に薄膜、例えばバリア膜を堆積させるために示される。堆積システム1’は、堆積システム1が図1および図2に示す参照符号が同じコンポーネントを表すように多数の同じ形態を含む。堆積システム1’は、図3の処理空間85または図4の処理空間85’の周囲エッジを囲むように構成されたシールド24を更に含む。基板ステージ20は、基板ステージ20が処理空間85’を形成するように上方を移動されたときに、シールド24と組み合わせるように構成された外側リップ22を更に含むことができる。例えば、外側リップ22は、シールド24で封止するように構成されることができる。シールド24は、処理空間85’の排気を可能にするために、そこを通して(穴のあいたシールドとして)プロセスガスの通過を可能にするように構成されることができる。もしシールド24が処理空間85’の排気を可能にするように構成されないならば、そこで、真空排気システム34に類似の別個の真空排気システム35は、処理空間85’を排気するために用いることができる。
図3および図4において記載されたシールド24は、複数の目的に役に立つことができる。シールド24は、処理空間85および85’の流体フローがより確実に予測されることができるかまたは制御されることができる簡略化された円柱ジオメトリーを提供することができる。シールド(すなわち、穴のあいたシールドとして)の予め定められた位置で開口を有することによって、流体フローは、設計されることができる。同様に、シールド24は、プラズマの端のすぐ近くに電気的アースの対称形の通路(path)を提供し、そして、それはより確実に予測されることができるかまたは制御されることができる均一なプラズマを提供することができる。さらにまた、シールド24は、交換可能ユニットであり得る。そして、壁10の内側に通常累積する堆積物を収集する。このように、シールド24は、壁10の内側がクリーニングを要する前に、標準定期保守において交換されることができ、その期間を延ばすことができる。
ここで図5を参照すると、堆積システム1または1’は、複数の蒸着プロセス、例えば熱的にアクティブにされた蒸着プロセス(すなわちプラズマを利用しない堆積プロセス)に続いて、プラズマ増強蒸着プロセス(すなわちプラズマ利用する堆積プロセス)を実行するように構成されることができる。熱的にアクティブにされた蒸着プロセスは、熱原子層堆積(ALD)プロセスまたは熱化学気相成長(CVD)プロセスを含むことができ、プラズマ増強蒸着プロセスは、プラズマ増強ALDプロセスまたはプラズマ増強CVDプロセスを含むことができる。一つの実施例において、複数のタンタルを含む膜を堆積させるときに、熱ALDまたは熱CVDプロセスのような第1の堆積プロセスは、Ta(C)Nを含んでいる第1の膜を堆積させるために利用されることができ、プラズマ拡張ALDプロセスのような第2の堆積プロセスは、第1の膜の上にTaを含んでいる第2の膜を堆積させるために利用されることができる。
図5にて図示したように、第1の堆積プロセスを実行するときに、第1のプロセスガス材料は、処理チャンバに導入され、そこにおいて、第1のプロセスガス材料は、タンタルから成る膜プリカーサ、例えば金属ハロゲン化物(例えば五塩化タンタル)または有機金属(例えば、Ta(NC(CH)(N(CH;以下でTAIMATA(登録商標)と称する;さらに詳細は、米国特許番号6,593,484号を参照)および還元ガスを含む。還元ガスは、例えば、水素またはアンモニアを含む。
ALDプロセスにおいて、処理チャンバ10への第1のプロセスガス材料の導入は、シーケンシャルにおよび交互に、膜プリカーサおよび還元ガスを導入することを含む。別の形態として、CVDプロセスで、処理チャンバ10への第1のプロセスガス材料の導入は、膜プリカーサおよび還元ガスの並列の導入を含む。
例えば、熱ALDで、膜プリカーサは、基板25の露出表面に膜プリカーサの吸着が生じるように、処理チャンバ10に導入される。望ましくは、材料の単分子層は、さらされた基板表面に吸着する。その後、還元ガスは、基板25上の所望の膜を残すために吸着された膜プリカーサを還元するように、処理チャンバ10に導入される。基板温度を上昇させることによって、膜プリカーサは、熱的に分解し、そして、化学的に還元ガスと反応する。膜プリカーサおよび還元ガスの導入は、所望の厚さの膜を生成するために繰り返される。パージガスは、膜プリカーサおよび還元ガスの導入の間に導入されることができる。パージガスは、不活性ガス、例えば希ガス(すなわちヘリウム、ネオン、アルゴン、キセノン、クリプトン)を含むことができる。
次に、図5にて図示したように、第2の堆積プロセスを実行するときに、第2のプロセスガス材料は処理チャンバに導入される。第2のプロセスガス材料が並列に、または処理空間がV1からV2にボリュームを増加するときに直ちに導入される。第2のプロセスガス材料は、タンタルから成る膜プリカーサ、例えば金属ハロゲン化物(例えば五塩化タンタル)、または有機金属(例えば、Ta(NC(CH)(N(CH;以下でTAIMATA(登録商標)と称する;さらに詳細は、米国特許番号6,593,484号を参照)および還元ガスを含む。還元ガスは、例えば、水素またはアンモニアを含むことができる。
PEALDプロセスにおいて、還元ガスの導入の間、プラズマを形成するように処理チャンバ10にパワーを結合させる一方、処理チャンバ10への第1のプロセスガス材料の導入は、シーケンシャルにおよび交互に膜プリカーサおよび還元ガスを導入することを含む。別の形態として、PECVDプロセスで、プラズマを形成するように処理チャンバ10にパワーを結合させる一方、処理チャンバ10への第1のプロセスガス材料の導入は、膜プリカーサおよび還元ガスの並行導入を含む。
プラズマ形成の間、例えば、パワーは、第1の電源50から第2のプロセスガス材料まで上部アセンブリ30を介して結合される。第2のプロセスガス材料へのパワーの結合は、第2のプロセスガス材料を加熱し、したがって、第2のプロセスガス材料の構成要素から堆積物を形成するために、第2のプロセスガス材料(すなわちプラズマ形成)のイオン化および解離が生じる。図5に示すように、処理チャンバ10は、別の期間中にパージガスによってパージされることができる。第1のプロセスガス材料、第2のプロセスガス材料の導入、および第2のプロセスガス材料がある間のプラズマの形成は、所望の厚さの膜を生成するための多くの回数、繰り返すことができる。
一つの実施例において、熱的に駆動された(thermally−driven)蒸着プロセス、例えばALDまたはCVDプロセスが、図5に記載されている第1のプロセスの間、用いられることができる。このように、タンタル(Ta)、タンタル窒化物またはタンタル炭窒化物は、熱的に駆動されたALDプロセスを使用して堆積することができ、そこにおいて、Taキャリア、例えばTaF、TaCl、TaBr、TaI)、Ta(CO)、Ta[N(CCH)](PEMAT)、Ta[N(CH(PDMAT)、Ta[N(C(PDEAT)、Ta(NC(CH)(N(C(TBTDET)、Ta(NC)(N(C、Ta(NC(CH)(N(CHまたはTa(NC(CH)(N(CH、が基板表面に吸着し、次ぎに還元ガス、例えばH、NH、NおよびH、N、NH(CH、またはNCHにさらされる。
図5に示される第1のプロセスに対する熱的に駆動された蒸着プロセス、例えばALDまたはCVDプロセスの別の実施例において、チタン(Ti)、窒化チタン、またはチタン炭窒化物を堆積させるときに、Tiキャリアが、TiF、TiCl、TiBr、TiI、Ti[N(CCH)](TEMAT)、Ti[N(CH(TDMAT)、またはTi‖[N(C(TDEAT)を含むことができ、還元ガスは、H、NH、NおよびH、N、NH(CH、またはNCHを含むことができる。
図5に示される第1のプロセスに対して熱的に駆動された蒸着プロセス、例えばALDまたはCVDプロセスの別の実施例として、タングステン(W)、タングステン窒化物、またはタングステン炭窒化物を堆積させるときに、Wキャリアは、WFまたはW(CO)を含むことができ、還元ガスは、H、NH、NおよびH、N、NH(CH、またはNCHを含むことができる。
図5に示される第1のプロセスに対して熱的に駆動された蒸着プロセス、例えばALDまたはCVDプロセスの別の実施例において、モリブデン(Mo)を堆積させるときに、Moキャリアは、モリブデン六フッ化物(MoF)を含むことができ、還元ガスは、Hを含むことができる。
図5に示される第1のプロセスに対して熱的に駆動された蒸着プロセス、例えばALDまたはCVDプロセスの銅を堆積させるときに、Cuキャリアは、Cuを含有する有機金属化合物、例えばシューマッハー、エアプロダクツアンドケミカルズのユニット会社(1969 Palomar Oaks Way、カールズバッド、カリフォルニア92009)から入手可能な商品名CupraSelect(登録商標)で知られたCu(TMV)(hfac)、または無機化合物、例えばCuClを含むことができる。還元ガスは、H、O、N、NH、またはHOの少なくとも1つを含むことができる。ここで使用している用語「A、B、C、…またはXのうちの少なくとも1つ」は、記載されたエレメントのどれか1つ、または記載されたエレメントの1つ以上のものの何らかの組合せを称する。
図5に示される第1のプロセスに対して熱的に駆動された蒸着プロセス、例えばALDまたはCVDプロセスの別の実施例において、酸化ジルコニウムを堆積させるときに、Zrキャリアは、Zr(NO、またはZrClを含むことができ、還元ガスは、HOを含むことができる。
図5に示される第1のプロセスに対して熱的に駆動された蒸着プロセス、例えばALDまたはCVDプロセスで酸化ハフニウムを堆積させるときに、Hfキャリアは、Hf(OBu、Hf(NO、またはHfClを含むことができ、還元ガスは、HOを含むことができる。他の例では、ハフニウム(Hf)を堆積させるときに、Hfキャリアは、HfClを含むことができ、第2のプロセス材料は、Hを含むことができる。
図5に示される第1のプロセスに対して熱的に駆動された蒸着プロセス、例えばALDまたはCVDプロセスのさらに別の実施例において、ニオブ(Nb)を堆積させるときに、Nbキャリアは、五塩化ニオブ(NbCl)を含むことができ、還元ガスは、Hを含むことができる。
図5に示される第1のプロセスに対して熱的に駆動された蒸着プロセス、例えばALDまたはCVDプロセスの別の実施例において、亜鉛(Zn)を堆積させるときに、Znキャリアは、二塩化亜鉛(ZnCl)を含むことができ、還元ガスは、Hを含むことができる。
図5に示される第1のプロセスに対して熱的に駆動された蒸着プロセス、例えばALDまたはCVDプロセスの別の実施例において、酸化シリコンを堆積させるときに、Siキャリアは、Si(OC、SiHCl、SiCl、またはSi(NOを含むことができ、還元ガスは、HOまたはOを含むことができる。他の例では、窒化シリコンを堆積させるときに、Siキャリアは、SiClまたはSiHClを含むことができ、還元ガスは、NH、または、NおよびHを含むことができる。他の例では、TiNを堆積させるときに、Tiキャリアは、硝酸チタン(Ti(NO))を含むことができ、還元ガスは、NHを含むことができる。
図5に示される第1のプロセスに対して熱的に駆動された蒸着プロセス、例えばALDまたはCVDプロセスの別の実施例において、アルミニウムを堆積させるときに、Alキャリアは、塩化アルミニウム(AlCl)をまたはトリメチルアルミニウム(Al(CH)を含むことができ、還元ガスは、Hを含むことができる。窒化アルミニウムを堆積させるときに、Alキャリアは、アルミニウム三塩化物またはトリメチルアルミニウムを含むことができ、還元ガスは、NH、または、NおよびHを含むことができる。他の例では、酸化アルミニウムを堆積させるときに、Alキャリアは、塩化アルミニウムまたはトリメチルアルミニウムを含むことができ、還元ガスは、HO、または、OおよびHを含むことができる。
図5に示される第1のプロセスに対して熱的に駆動された蒸着プロセス、例えばALDまたはCVDプロセスの別の実施例において、GaNを堆積させるときに、Gaキャリアは、硝酸ガリウム(Ga(NO)またはトリメチルガリウム(Ga(CH)を含むことができ、還元ガスは、NHを含むことができる。
さまざまな材料層の形成に対する上記実施例において、図6に示される第1のプロセスに対して堆積されるプロセス材料は、金属膜、金属窒化膜、金属炭窒化物膜、金属酸化膜、または金属ケイ酸塩膜のうちの少なくとも1つを含むことができる。一方、第2の堆積プロセスに対して堆積されるプロセス材料は、同じであるか異なる金属組成の別の材料膜を含むことができる。例えば、図6に示される第1のプロセスに対して堆積されるプロセス材料は、タンタル膜、タンタル窒化膜、またはタンタル炭窒化物膜のうちの少なくとも1つを含むことができる。一方、図5に記載された第2の堆積プロセスに対して堆積されるプロセス材料は、例えば別のタンタル膜、別のタンタル窒化膜、または別のタンタル炭窒化物膜(例えばタンタル炭窒化物膜の上に堆積されるタンタル膜)を含むことができる。別の形態として、例えば、図5に記載された第2の堆積プロセスに対して堆積されるプロセス材料は、例えば、Al膜、またはCu膜を含むことができ、これらの膜は、例えば1つの金属ラインを別の金属ラインに接続するための、または例えば金属ラインを半導体デバイスのソース/ドレイン接点に接続するためのビアを例えば金属被覆するために堆積されることを含むことができる。AlまたはCu膜は、上記の通りにAlおよびCuのためのプリカーサを使用してプラズマプロセスの有無にかかわらず形成されることができる。また、図5に記載された第2の堆積プロセスに対して堆積されるプロセス材料は、絶縁層、例えば半導体デバイスの金属ラインまたはゲート構造に対する上記のようなジルコニウム酸化膜、ハフニウム酸化膜、ハフニウムケイ酸塩膜、シリコン酸化膜、窒化シリコン膜、チタン窒化膜、および/またはGaN膜を含むことができる。
更に、図5の第1の堆積プロセスは、ALDプロセスによって起こる必要はしなくて、公知技術の適切なキャリヤガスを使用して別の熱CVDプロセスを用いて本発明が起こることがあってもよい。例えば、シランおよびジシランが、シリコンベースの、またはシリコン含有の膜の堆積のためのシリコンキャリアとして使われることがあり得る。Germaneは、ゲルマニウムベースの、またはゲルマニウム含有の膜を堆積させるためにゲルマニウムキャリアを使用されることがあり得る。このようなキャリアが、同様に、図5に記載されるプラズマプロセスの間、用いられることがあり得る。このように、図5に記載される第1および第2の堆積プロセスに対して堆積されるプロセス材料は、半導体装置用の導電性ゲート構造を形成するように、例えば堆積される金属シリサイド膜および/またはゲルマニウム含有の膜を含むことができる。
図5に図示したように、第1の膜の堆積に続いて、第2の膜は、プラズマプロセスによって好ましくは堆積される。プラズマ増強化学的気相成長(PECVD)プロセスまたはプラズマ増強原子層堆積プロセスのようなプラズマプロセスは、それぞれ、熱CVDまたは熱ALDと比較してその一般的により高い成長速度により、第2の膜の堆積に対して好まれる。しかしながら、他の技術は、本発明に係る第2の膜を堆積させるために用いることができる。
さらに、図5に示される上記の交互プロセスで、プロセスボリュームは、第1の時間の間の第1のプロセスガス材料の導入および任意に第2の時間の間のパージガスの導入の間の第1のボリューム(V1)と、第3の時間の間の第2のプロセスガス材料の導入および任意に第4の期間の間のパージガスの導入の間の第2のボリューム(V2)と、の間に変化することができる。V1およびV2に対する最適のボリュームは、PEALDプロセスの各々のプロセスステップに対する処理空間に対して選ばれることができる。
例えば、第1のプロセスガス材料が処理空間を通り抜け、そして第1のプロセスガス材料のある割合いが基板の表面上に吸着されるように、第1のボリューム(V1)は十分に小さくされ得る。処理空間の第1のボリュームが減少されるとき、基板表面上の吸着に対して必要な第1のプロセスガス材料の量は減少し、第1の処理空間の中で第1のプロセスガス材料を交換することを必要とする時間は、減少される。例えば、処理空間の第1のボリュームが減少されるとき、それ故、滞留時間は減少される。そして、第1の期間の短縮を可能にする。
さらに、例えば、第2のボリューム(V2)は、第2のプロセス材料からのプラズマの形成が基板より上に均一なプラズマの形成に至るボリュームにセットされ得る。熱処理プロセスジオメトリーに相当する均一性のプラズマプロセスジオメトリーを提供することが可能である本発明に係る能力は、異なる処理システムの間のプロセスウェハを移送することを必要とせず、同じシステムでの連続的な熱、および、プラズマプロセスを実行することを本発明に許容する。そして、このことによりプロセス時間を節約し、プロセス膜の間のインターフェースで表面汚染を減少する。そして、その結果として膜に対する改良された材料特性に至る。
本発明の一実施態様において、処理空間の第2のボリュームV2は、0.1を超え、好ましくは0.5を超える、幅に対する高さのアスペクト比を有する処理空間を規定する。例えば、アスペクト比が減少するとき、プラズマ均一性が悪化するように観測され、一方、アスペクト比が増加させるとき、プラズマ均一性は、改良するように観測された。
半導体ウェハを含む基板を処理するとき、処理空間は、実質的に円筒状であり、そして、基板と、上部アセンブリとの間の直径、および、高さまたは間隔によって特徴づけられる。間隔(または高さ)が、処理空間のボリュームを調整するための変数パラメータであり得るのに反して、直径は、基板のサイズに関連する。第1のプロセス材料の導入の間の第1のボリュームは、例えば、基板ステージ20から上部アセンブリ30まで20mm以下の間隔に、および、第2のプロセス材料の導入の間の第2のボリュームは、例えば、20mmより大きい間隔を有することができる。
図6は、本発明の1つの実施形態に係るプロセスのプロセスフロー図を示す。図6のプロセスは、図1−図4の処理システムによって、または他のいかなる適切な処理システムによっても実行されることができる。図6に示すように、ステップ610で、基板より上に規定される処理空間を有する蒸着システムに基板が配置されるときに、プロセスは始まる。ステップ620において、第1のプロセスガス組成は、第1の蒸着プロセスに係る処理空間に導入される。ステップ630において、第1の膜は、基板に堆積される。ステップ640において、第2のプロセスガス組成は、第1の処理空間からサイズの異なる第2の処理空間に導入される。ステップ650において、第2の膜は、第2のプロセスガス組成から基板に堆積される。
ステップ630および650において、第1および第2の膜に対して堆積される材料は、同一材料であり得るか、または、異なる材料であり得る。
ステップ610において、蒸着システムは、原子層堆積(ALD)プロセス、プラズマ増強ALD(PEALD)プロセス、プラズマ増強化学的気相成長(PECVD)プロセス、または熱化学気相成長(CVD)プロセスのうちの少なくとも1つに対して構成されることができる。このように、堆積される第1の膜は、ALDプロセスによって堆積することができ、および、第2の膜は、PEALDプロセスによって堆積されることができる。別の形態として、堆積される第1の膜は、熱CVDプロセスによって堆積されることができ、および、第2の膜は、PECVDプロセスによって堆積されることができる。別の形態として、堆積される第1の膜は、ALDプロセスによって堆積されることができ、および、第2の膜は、熱CVDプロセスまたはPECVDプロセスによって堆積されることができる。
ステップ620において、第1のプロセスガス組成は、シールドによって囲まれた基板より上の処理空間に導入される。本発明の一実施態様において、シールドは、シールドを通して第1のプロセスガス組成の排気を可能する穴が空けられていることができる。もしシールドがせん孔を有しないならば、処理空間の内側は、別個に排気されることができる。
ステップ650において、基板を保持している基板ステージは、第2の膜の堆積物の均一性を改良する位置に移動されることができる。ステップ650において、プラズマは、0.1から100MHzまでの周波数でRFエネルギを印加することによって形成されることができる。本発明の一態様において、プラズマを形成する前に、処理空間のボリュームは、プラズマ均一性のためにより助けとなる条件を容易にするために増加される。このように、ステップ650の前に、基板ステージは、第2の蒸着プロセスのプラズマ均一性を改良する位置に移動されることができる。例えば、基板ステージは、プラズマ均一性が基板ステージの200mmの直径に渡って2%より十分に良い、または基板ステージの200mmの直径に渡って1%より十分に良い位置にセットされることができる。
ステップ650の間、基板バイアスは、基板に提供されることができる。例えば、基板バイアスは、直流電圧、および/または0.1から100MHzまでの周波数を有しているRF電圧であり得る。ステップ650の前に、電磁気のパワーは、第1の膜の表面で還元反応プロセスを加速するプラズマを生成するために、蒸着システムに結合されることができる。
さらにまた、パージガスは、第1の膜を堆積させた後に導入されることができる。さらに、パージガスの有無にかかわらず、現在の、電磁気のパワーは、少なくとも1つの前記蒸着システムまたは基板から汚染物質を解放するために、蒸着システムに結合されることができる。電磁気のパワーは、プラズマ、紫外光、またはレーザーの形で蒸着システムに結合されることができる。
本発明の一実施態様において、パージガスは、反応性のクリーニングガスであり得る。この場合、反応性のクリーニングガスは、処理チャンバ壁上の汚染物質と、および/または、処理チャンバからのこのような不純物を除去するのにアシストするように基板表面と化学的に反応する。従来技術において当業者によって理解されるように、反応性ガスの組成は、主にALDプロセスに、および、特に、処理チャンバから除去される汚染物質に依存する。すなわち、反応性ガスは、処理チャンバから除去される汚染物質に反応するように選ばれる。タンタル膜を堆積させることの実施例を考える際に、第1のプロセス材料としての五塩化タンタル、および、第2のプロセス材料としての水素を(すなわち還元反応)使用すると、塩素汚染物質は、プロセス壁に、および、堆積膜自体の中に残留し得る。これらの塩素汚染物質を除去するために、アンモニア(NH)は化学的に塩素汚染物質に反応し、および、壁および/または基板からそれらを解放するために導入されることができる。その結果、汚染物質は真空排気によってチャンバから放出されることができる。
本発明の他の実施例において、処理チャンバ壁は、汚染物質を除去するために化学反応を容易にするために暖められることができる。例えば、上記の通りに塩素汚染物質を還元するときに、チャンバ壁は少なくとも80℃まで加熱される。
図1−図4に示すように、堆積システム1および1’は、処理チャンバ10に組み合わせられることができるコントローラ70と、基板ステージ20と、上部アセンブリ30と、第1のプロセス材料供給システム40と、第2のプロセス材料供給システム42と、パージガス供給システム44と、第1の電源50と、基板温度コントロールシステム60と、および/またはプロセスボリューム調整システム80とをを含む。
コントローラ70は、マイクロプロセッサ、メモリ、および、膜堆積のための上記で議論されたプロセスを制御しモニタするために堆積システム1(1’)と通信し、堆積システム1(1’)への入力をアクティブにし、同じく堆積システム1(1’)からの出力をモニタするために十分な制御電圧を生成することが可能なデジタルI/Oポートを含むことができる。例えば、コントローラ70は、図6に関して上で記載されているステップを達成するように実行するプログラム命令を有するコンピュータ読み取り可能なメディアを含むことができる。さらに、コントローラ70は、処理チャンバ10、基板ステージ20、上部アセンブリ30、第1のプロセス材料ガス供給システム40、第2のプロセス材料供給ガスシステム42、パージガス供給システム44、第1の電源50、第2の電源52、基板温度コントローラ60、および/または圧力制御システム32に組み合わせられることができ、それらと情報を交換することができる。例えば、メモリに格納されたプログラムは、上記のプラズマ無し、またはプラズマ増強堆積プロセスの1つを実行するために、プロセスレシピに係る堆積システム1(1’)の上述したコンポーネントへの入力をアクティブにするために利用されることができる。
コントローラ70の1つの実施例は、オースティン、テキサスのデル社から入手可能な、610TM、デルプレシジョンワークステーションである。しかしながら、コントローラ70は、メモリに含まれる1つ以上の命令の1つ以上のシーケンスを実行しているプロセッサに応答して本発明の処理ステップに基づいてマイクロプロセッサの一部または全てを実行する汎用コンピューターシステムとして実行されることができる。このような命令は、別のコンピュータ読み取り可能なメディア(例えばハードディスクまたはリムーバブルメディアドライブ)から、コントローラメモリに読み込まれることができる。マルチプロセッシング装置の1つ以上のプロセッサは、また、主メモリに含まれる命令のシーケンスを実行するために、コントローラマイクロプロセッサとして使用されることができる。代わりの実施例では、配線による回路が、ソフトウェア命令の代わりにまたはそれと結合して用いられることができる。したがって、実施形態は、ハードウェア回路、および、ソフトウェアの何らかの特定の組合せに限定されない。
コントローラ70は、本発明の教示に係りプログラムされた命令を保持するために、およびデータ構造、表、レコード、若しくは本発明を実施するのに必要であり得る他のデータを包含するために、少なくとも1つのコンピュータ読み取り可能なメディア、またはメモリ、例えばコントローラメモリを有する。コンピュータ読み取り可能なメディアの実施例は、コンパクトディスク、ハードディスク、フロッピー(登録商標)ディスク、テープ、光磁気ディスク、PROMs(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、または、他のいかなる磁気媒体、コンパクトディスク(例えばCD―ROM)、または他のいかなる光学的メディア、パンチカード、紙テープまたは孔パターンを有する他の物理メディア、キャリアウェーブ(以下に記載する)、またはコンピュータが読むことができる他のいかなるメディアでもある。
コンピュータ読み取り可能なメディアのどれかひとつ、または組合せたものに保存されて、本発明は、コントローラ70を制御するための、本発明を実施するためのデバイスまたはデバイスを駆動するための、および/またはコントローラが人間のユーザと対話することを可能にするためのソフトウェアを含む。このようなソフトウェアは、デバイスドライバ、オペレーティングシステム、開発ツール、およびアプリケーションソフトを含むことができるが、これに限定されるものではない。このようなコンピュータ読み取り可能なメディアは、本発明を実施する際に実行されるプロセスの全てまたは部分(もしプロセスが分散さえるならば)を実行するための本発明のコンピュータプログラム製品を更に含む。
本発明のコンピューターコードデバイスは、スクリプト、解釈可能なプログラム、ダイナミックリンクライブラリ(DLL)、Java(登録商標)クラス、および、完成した実行可能プログラムを含むがこれに限らない何らかの解釈可能なまたは実行可能コード機構であることができる。さらに、本発明のプロセスの部分は、より十分な性能、信頼性、および/または費用に対して分散されることができる。
ここで使用する用語「コンピュータ読み取り可能なメディア」は、実行のためコントローラ70のプロセッサに対する命令を提供する際に関係する何らかのメディアを称する。コンピュータ読み取り可能なメディアは、多くの形態をとることができ、不揮発性のメディア、揮発性のメディア、および、伝送メディアを含み、しかし、それらに限定されるものではない。不揮発性のメディアは、例えば、光学的、磁気ディスク、および光磁気ディスク、例えばハードディスクまたはリムーバブルメディアドライブを含む。揮発性のメディアは、ダイナミックメモリ、例えば主メモリを含む。さらに、コンピュータ読み取り可能なメディアの多様な形態は、実行のためのコントローラのプロセッサに対する1つ以上の命令の1つ以上のシーケンスを実行することを含まれることができる。例えば、命令は、まず最初にリモートコンピュータの磁気ディスクに移動されることができる。リモートコンピュータは、遠隔でダイナミックメモリへ、本発明の全てまたは部分を実施するための命令をロードすることができ、および、コントローラ70にネットワーク上で命令を送ることができる。
コントローラ70は、堆積システム1(1’)に対して近くで位置づけられることができ、または、それは堆積システム1(1’)に対して遠く離れて位置づけられることができる。例えば、コントローラ70は、直接接続、イントラネット、インターネット、および、ワイヤレス接続のうちの少なくとも1つを用いて、データを堆積システム1(1’)と交換することができる。コントローラ70は、例えば、顧客サイト(すなわちデバイスメーカーなど)でイントラネットに接続させられることができ、または、それは、例えば、ベンダーサイト(すなわち装置製造業者)でイントラネットに接続させられることができる。加えて、例えば、コントローラ70は、インターネットに組み合わせられることができる。さらにまた、別のコンピュータ(すなわちコントローラ、サーバなど)は、例えば、直接接続、イントラネット、およびインターネットのうちの少なくとも1つを介してデータを交換するコントローラ70にアクセスできる。また、当業者によって理解されるように、コントローラ70は、ワイヤレス接続を介してデータを堆積システム1(1’)と交換することができる。
発明の特定の典型的な実施形態だけが上で詳述されたが、当業者は、発明の新規進歩の事項から逸脱することなく典型的な実施形態において多数の変更態様が可能であることが容易に理解することができる。
添付の図面において、上記詳細な説明を参照することでより十分に理解されるのと同様に、添付の図面ととともに考えられることによって、本発明のより完全な理解およびそれの多くの効果は容易に得られる。
本発明の1つの実施形態に係る堆積システムの概略図を記載する図である。 本発明の1つの実施形態に係る拡大された処理空間を示す図1の堆積システムの概略図を記載する図である。 本発明の別の実施形態に係る堆積システムの概略図を記載する図である。 本発明の1つの実施形態に係る拡大された処理空間を示す図3の堆積システムの概略図を記載する図である。 図1〜図4の堆積システムで使用される本発明の1つの実施形態に係る概略のタイミングダイアグラムを記載する図である。 本発明の1つの実施形態に係るプロセスのプロセスフロー図を示す図である。
符号の説明
1…堆積システム、1’…堆積システム、10…処理チャンバ、20…基板ステージ、22…外側リップ、24…シールド、25…基板、30…上部アセンブリ、32…圧力制御システム、34…真空排気システム、35…真空排気システム、36…バルブ、38…ダクト、40…第1のプロセス材料ガス供給システム、42…第2のプロセス材料ガス供給システム、44…パージガス供給システム、50…第1の電源、52…第2の電源、60…基板温度コントロールシステム、70…コントローラ、80…プロセスボリューム調整システム、85…第1の処理空間、85’…第2の処理空間、110…処理チャンバ。

Claims (43)

  1. 蒸着システムの基板上へ材料を堆積させる方法であって、
    前記基板より上に規定される第1の処理空間を有する前記蒸着システムに前記基板を配置することと;
    第1の蒸着プロセスによる前記第1の処理空間に第1のプロセスガス組成を導入することと;
    前記基板上に第1の膜を堆積させることと;
    前記第1の処理空間とサイズの異なる第2の処理空間に第2のプロセスガス組成を導入することと;
    前記第2のプロセスガス組成から前記基板上に第2の膜を堆積させることとを具備する方法。
  2. 前記第2の膜を堆積させることは、堆積された第2の膜の均一性を改良する位置に基板ステージを移動することを備えている請求項1の方法。
  3. 前記堆積させることは、プラズマ増強化学的気相成長によって前記第2の膜を堆積させることと;
    前記基板ステージを前記基板ステージの200mmの直径に渡って2%よりプラズマ均一性が良い位置にセットすることとを備えている請求項2の方法。
  4. 前記セットすることは、前記基板ステージをプラズマ均一性が前記基板ステージの200mmの直径に渡って1%より良い位置にセットすることを備えている請求項3の方法。
  5. 前記第1の膜を堆積させることと、前記第2の膜を堆積させることとは、同一の材料を堆積させることである請求項1の方法。
  6. 前記第1の膜を堆積させることと、前記第2の膜を堆積させることとは、異なる材料を堆積させることである請求項1の方法。
  7. 前記第1の膜を堆積させることは、タンタル膜、タンタル窒化膜、またはタンタル炭窒化物膜のうちの少なくとも1つを堆積させることである請求項1の方法。
  8. 前記第2の膜を堆積させることは、Al膜、Cu膜、Zn膜、金属シリサイド膜、若しくはゲルマニウム含有膜、またはこれらの膜のいずれかを別個に、若しくは合金として組合せたもののうちの少なくとも1つを堆積させることである請求項1の方法。
  9. 前記第1の膜を堆積させることは、タンタル膜、タンタル窒化膜、タンタル炭窒化物膜、Al膜、Cu膜、Zn膜、金属シリサイド膜、若しくはゲルマニウム含有膜、またはこれらの膜のいずれかを別個に、若しくは合金として組合せたもののうちの少なくとも1つを含んでいるメタライゼーションラインを堆積させることであり;
    前記第2の膜を堆積させることは、ジルコニウム酸化膜、ハフニウム酸化膜、シリコン酸化膜、窒化シリコン膜、窒化チタン、若しくはGaN膜、またはこれらの膜のいずれかを組み合わせたもののうちの少なくとも1つを含んでいる層間金属絶縁物を堆積させることである請求項1の方法。
  10. 前記配置することは、原子層堆積(ALD)プロセス、プラズマ増強化学的気相成長(PECVD)プロセス、または熱化学気相成長プロセスのうちの少なくとも1つを実行するように構成されているチャンバ内に前記基板を配置することである請求項1の方法。
  11. 前記第1の膜を堆積させることは、前記ALDプロセスを使用して前記第1の膜を堆積させることであり、
    前記第2の膜を堆積させることは、前記PECVDプロセスを使用して前記基板上に前記第2の膜を堆積させることである請求項10の方法。
  12. 前記第1の膜を堆積させることは、前記熱CVDプロセスを使用して前記第1の膜を堆積させることであり、
    前記第2の膜を堆積させることは、前記PECVDプロセスを使用して前記基板上に前記第2の膜を堆積させることである請求項10の方法。
  13. 前記第1の膜を堆積させることは、前記ALDプロセスを使用して前記第1の膜を堆積させることであり、
    前記第2の膜を堆積させることは、前記熱CVDプロセスを使用して前記基板上に前記第2の膜を堆積させることである請求項10の方法。
  14. 前記第1のプロセスガス組成を導入することは、シールドによって囲まれる基板より上の領域に前記第1のプロセスガス組成を導入することである請求項1の方法。
  15. 前記シールドの孔を介して前記第1のプロセスガス組成を排気することによって前記基板より上の前記領域を排気することを更に具備する請求項14の方法。
  16. 前記第2の膜を堆積させることは、0.1から100MHzまでの周波数でRFエネルギを印加することを備えている請求項1の方法。
  17. 前記第1の膜を堆積させた後に、パージガスを導入することを更に具備する請求項1の方法。
  18. 少なくとも前記第2の膜を堆積させている間に、前記基板に基板バイアスを提供することを更に具備する請求項1の方法。
  19. 前記基板バイアスを提供することは、直流電圧または0.1から100MHzまでの周波数を有するRF電圧のうちの少なくとも1つで、前記基板にバイアスをかけることである請求項18の方法。
  20. 基板処理システムプロセッサ上で実行のためのプログラム命令を含んでいるコンピュータ読み取り可能なメディアであって、
    前記プロセッサによって実行されるときに、請求項1から19に記載のステップのいずれかを実行するように基板処理システムを起動するメディア。
  21. 基板上に薄膜を蒸着するシステムであって、
    第1のボリュームを有する第1の処理空間と、前記第1の処理空間の少なくとも一部を含んで、前記第1のボリュームと異なる第2のボリュームを有する第2の処理空間とを含む処理チャンバと;
    第1の化学気相成長のために構成された前記第1の処理空間と;
    第2の化学気相成長のために構成された前記第2の処理空間とを具備するシステム。
  22. 前記第1の化学気相成長プロセスと、前記第2の化学気相成長との両方の間、前記基板を保持するように構成された基板ステージを更に具備する請求項21のシステム。
  23. ガス供給口を有する第1のチャンバアセンブリと;
    前記基板ステージを支えていて、前記処理チャンバの排気のために構成された真空ポンプを支持するように構成された第2のチャンバーアセンブリとを更に具備する請求項22のシステム。
  24. 前記第1の処理空間は、前記基板ステージの一番上の部分から前記第1のチャンバアセンブリのガス入口表面まで20mm以下の間隔によって、部分的に規定され、
    前記第2の処理空間は、前記基板ステージの一番上の部分から前記第1のチャンバアセンブリのガス入口表面まで20mm以上の間隔によって、部分的に規定される請求項23のシステム。
  25. 前記第1および第2の処理空間のボリュームを変える方向に前記基板ステージを移動するように構成されたプロセスボリューム調整機構を更に具備する請求項22のシステム。
  26. 前記第2の処理空間は、0.1より大きい、幅に対する高さのアスペクト比を有する空間を備えている請求項21のシステム。
  27. 前記第2の処理空間は、0.5より大きい、幅に対する高さのアスペクト比を有する空間を備えている請求項21のシステム。
  28. 前記第1の処理空間の周囲エッジを囲むように構成されたシールドを更に具備する請求項21のシステム。
  29. 前記シールドは、穴のあいたシールドである請求項28のシステム。
  30. 第1の化学気相成長と、前記第2の化学気相成長との間、前記基板を保持するように構成された基板ステージを更に具備し、
    前記基板ステージは、前記シールドの前記周囲エッジに接触するように構成された周辺リップを有する請求項28のシステム。
  31. 前記周辺リップは、前記周囲エッジに対して封止を形成するように構成されている請求項30のシステム。
  32. 少なくとも前記第1の処理空間を排気するように構成された真空ポンプを更に具備する請求項31のシステム。
  33. 前記第1の処理空間は、原子層堆積(ALD)または熱化学気相成長(CVD)のうちの少なくとも1つのために構成されている請求項21のシステム。
  34. 前記第1の処理空間は、タンタル膜、タンタル窒化物、またはタンタル炭窒化物のうちの少なくとも1つの堆積のために構成されている請求項21のシステム。
  35. 前記第2の処理空間は、Al膜、Cu膜、Zn膜、金属シリサイド膜、若しくはゲルマニウム含有膜、またはこれらの膜のいずれかを別個に、若しくは合金として組合せたもののうちの少なくとも1つの堆積のために構成されている請求項21のシステム。
  36. 前記第2の処理空間は、ジルコニウム酸化膜、ハフニウム酸化膜、シリコン酸化膜、窒化シリコン、窒化チタン、若しくはGaN膜、またはこれらの膜のいずれかの組合せのうちの少なくとも1つの堆積のために構成されている請求項21のシステム。
  37. 0.1から100MHzまでの周波数でRFエネルギを出力するように構成されているRF電力電源を更に具備する請求項21のシステム。
  38. 前記第2の処理空間は、プラズマ増強化学的気相成長(CVD)のために構成されている請求項37のシステム。
  39. 前記RF電力電源に接続され、前記第1および第2の処理空間の少なくとも1つに前記RFエネルギを結合させるように構成されている電極を更に具備する請求項37のシステム。
  40. 直流電圧、または0.1から100MHzまでの周波数でのRF電圧の少なくとも1つを出力するように構成されているバイアス電源を更に具備する請求項21のシステム。
  41. 前記基板にバイアスを印加するように構成され、RFの前記バイアス電源に接続され、前記基板上へ前記RF電圧を結合するように構成されている電極を更に具備する請求項40のシステム。
  42. 前記処理チャンバのプロセスを制御するように構成されているコントローラを更に具備する請求項21のシステム。
  43. 前記コントローラは、第1の蒸着プロセスによる前記第1の処理空間に第1のプロセスガス組成を導入し;
    前記基板上に第1の膜を堆積させ;
    第2の処理空間を形成するように前記基板を保持する基板ステージの位置を移動し;
    前記第2の処理空間に第2のプロセスガス組成を導入し;
    前記第2のプロセス組成から前記基板上に第2の膜を堆積させるようにプログラムされている請求項42のシステム。
JP2006309130A 2005-11-18 2006-11-15 シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム Active JP5101868B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/281,343 2005-11-18
US11/281,343 US20070116888A1 (en) 2005-11-18 2005-11-18 Method and system for performing different deposition processes within a single chamber

Publications (2)

Publication Number Publication Date
JP2007138295A JP2007138295A (ja) 2007-06-07
JP5101868B2 true JP5101868B2 (ja) 2012-12-19

Family

ID=38053870

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006309130A Active JP5101868B2 (ja) 2005-11-18 2006-11-15 シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム

Country Status (2)

Country Link
US (1) US20070116888A1 (ja)
JP (1) JP5101868B2 (ja)

Families Citing this family (349)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100628029B1 (ko) * 2004-12-04 2006-09-26 주식회사 아이피에스 박막 증착 방법 및 이를 이용한 반도체 제조방법
KR20160027244A (ko) 2006-03-10 2016-03-09 인티그리스, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
JP5069042B2 (ja) 2007-05-24 2012-11-07 日立オムロンターミナルソリューションズ株式会社 紙幣取扱装置
KR100956210B1 (ko) * 2007-06-19 2010-05-04 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 금속 실리콘 질화물 박막의 플라즈마 강화 사이클릭증착방법
US7638170B2 (en) * 2007-06-21 2009-12-29 Asm International N.V. Low resistivity metal carbonitride thin film deposition by atomic layer deposition
US8017182B2 (en) * 2007-06-21 2011-09-13 Asm International N.V. Method for depositing thin films by mixed pulsed CVD and ALD
US8114484B2 (en) * 2007-07-19 2012-02-14 Applied Materials, Inc. Plasma enhanced chemical vapor deposition technology for large-size processing
US9184072B2 (en) * 2007-07-27 2015-11-10 Mattson Technology, Inc. Advanced multi-workpiece processing chamber
US8026168B2 (en) * 2007-08-15 2011-09-27 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
GB2455991B (en) * 2007-12-28 2010-12-01 Hauzer Techno Coating Bv A method of giving an article a coloured appearance and an article having a coloured appearance
GB2455993B (en) * 2007-12-28 2012-09-05 Hauzer Techno Coating Bv A corrosion resistant coated article
JP4935684B2 (ja) * 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP4935687B2 (ja) * 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2009209435A (ja) * 2008-03-06 2009-09-17 Mitsui Eng & Shipbuild Co Ltd 原子層成膜装置
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
JP4638550B2 (ja) * 2008-09-29 2011-02-23 東京エレクトロン株式会社 マスクパターンの形成方法、微細パターンの形成方法及び成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI491759B (zh) * 2009-03-17 2015-07-11 Advanced Tech Materials 利用輔助性金屬物種以沉積釕的方法與組成物
JP2010225751A (ja) * 2009-03-23 2010-10-07 Mitsui Eng & Shipbuild Co Ltd 原子層成長装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5774822B2 (ja) * 2009-05-25 2015-09-09 株式会社日立国際電気 半導体デバイスの製造方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8076250B1 (en) * 2010-10-06 2011-12-13 Applied Materials, Inc. PECVD oxide-nitride and oxide-silicon stacks for 3D memory application
KR101657341B1 (ko) 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 성막 방법
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011113293A1 (de) * 2011-09-05 2013-03-07 Schmid Vacuum Technology Gmbh Vakuumbeschichtungsvorrichtung
DE102011113294A1 (de) * 2011-09-05 2013-03-07 Schmid Vacuum Technology Gmbh Vakuumbeschichtungsvorrichtung
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5793398B2 (ja) * 2011-10-28 2015-10-14 東京エレクトロン株式会社 シード層の形成方法及びシリコン含有薄膜の成膜方法
US8623468B2 (en) * 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
ES2543579T3 (es) 2012-02-15 2015-08-20 Ihi Hauzer Techno Coating B.V. Componentes de cojinete y cojinetes aislados frente a corriente
EP2628817B1 (en) 2012-02-15 2016-11-02 IHI Hauzer Techno Coating B.V. A coated article of martensitic steel and a method of forming a coated article of steel
US8846484B2 (en) * 2012-02-15 2014-09-30 Intermolecular, Inc. ReRAM stacks preparation by using single ALD or PVD chamber
US9443736B2 (en) 2012-05-25 2016-09-13 Entegris, Inc. Silylene compositions and methods of use thereof
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR101743498B1 (ko) * 2012-12-20 2017-06-05 캐논 아네르바 가부시키가이샤 자기저항 효과 소자의 제조 방법
JP6036279B2 (ja) * 2012-12-26 2016-11-30 コニカミノルタ株式会社 有機エレクトロルミネッセンス素子製造方法
US8894870B2 (en) * 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) * 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014124056A1 (en) 2013-02-08 2014-08-14 Advanced Technology Materials, Inc. Ald processes for low leakage current and low equivalent oxide thickness bitao films
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP6145626B2 (ja) * 2013-05-01 2017-06-14 株式会社昭和真空 成膜方法
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
JP6315699B2 (ja) * 2014-03-17 2018-04-25 東京エレクトロン株式会社 炭窒化チタン膜を形成する方法
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
US20160217974A1 (en) * 2015-01-28 2016-07-28 Stephen J. Motosko Apparatus for plasma treating
JP5906507B1 (ja) * 2015-02-27 2016-04-20 株式会社昭和真空 多層膜被覆樹脂基板およびその製造方法
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN106158569B (zh) * 2015-03-26 2018-08-07 理想晶延半导体设备(上海)有限公司 半导体处理设备
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
WO2017049267A1 (en) * 2015-09-19 2017-03-23 Applied Materials, Inc. Titanium-compound based hard mask films
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9865455B1 (en) * 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN107017193B (zh) * 2017-05-05 2019-08-20 华中科技大学 一种基片取放及转移装置
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10396601B2 (en) * 2017-05-25 2019-08-27 Mks Instruments, Inc. Piecewise RF power systems and methods for supplying pre-distorted RF bias voltage signals to an electrode in a processing chamber
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10515796B2 (en) * 2017-11-21 2019-12-24 Applied Materials, Inc. Dry etch rate reduction of silicon nitride films
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
JP6770988B2 (ja) * 2018-03-14 2020-10-21 株式会社Kokusai Electric 基板処理装置および半導体装置の製造方法
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US20190390341A1 (en) * 2018-06-26 2019-12-26 Lam Research Corporation Deposition tool and method for depositing metal oxide films on organic materials
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
JP7329913B2 (ja) * 2018-10-16 2023-08-21 Jswアフティ株式会社 プラズマ成膜方法
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
JP7300898B2 (ja) * 2019-06-11 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
KR20210095798A (ko) * 2020-01-23 2021-08-03 에이에스엠 아이피 홀딩 비.브이. 반응 챔버 압력을 안정화하기 위한 시스템 및 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
JP3356654B2 (ja) * 1997-07-14 2002-12-16 東芝マイクロエレクトロニクス株式会社 半導体ウエハ成膜装置
JP3035735B2 (ja) * 1998-09-07 2000-04-24 国際電気株式会社 基板処理装置および基板処理方法
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
KR101050377B1 (ko) * 2001-02-12 2011-07-20 에이에스엠 아메리카, 인코포레이티드 반도체 박막 증착을 위한 개선된 공정
JP2002343787A (ja) * 2001-05-17 2002-11-29 Research Institute Of Innovative Technology For The Earth プラズマ処理装置およびそのクリーニング方法
US20030024900A1 (en) * 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
JP4087234B2 (ja) * 2002-12-05 2008-05-21 株式会社アルバック プラズマ処理装置及びプラズマ処理方法
JP2005011940A (ja) * 2003-06-18 2005-01-13 Tokyo Electron Ltd 基板処理方法、半導体装置の製造方法および半導体装置
KR100614801B1 (ko) * 2004-07-05 2006-08-22 삼성전자주식회사 반도체 장치의 막 형성방법
KR100589285B1 (ko) * 2004-08-19 2006-06-14 주식회사 아이피에스 다중 적층막 구조의 금속 질화 막 증착 방법

Also Published As

Publication number Publication date
JP2007138295A (ja) 2007-06-07
US20070116888A1 (en) 2007-05-24

Similar Documents

Publication Publication Date Title
JP5101868B2 (ja) シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム
JP5312036B2 (ja) プラズマ原子層堆積を実行する方法及びシステム
JP5209198B2 (ja) 熱およびプラズマ増強蒸着のための装置および操作方法
JP5209197B2 (ja) 熱およびプラズマ増強蒸着のための装置および操作方法
JP5080108B2 (ja) 処理システムのためのシーリングのデバイスおよび方法
US7670432B2 (en) Exhaust system for a vacuum processing system
JP5242066B2 (ja) 堆積システムのパーティクルコンタミネーションを減少するように構成された排気装置
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US7338901B2 (en) Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
US8454749B2 (en) Method and system for sealing a first assembly to a second assembly of a processing system
US7422636B2 (en) Plasma enhanced atomic layer deposition system having reduced contamination
JP5253149B2 (ja) プラズマ加速原子層成膜のシステムおよび方法
US8163087B2 (en) Plasma enhanced atomic layer deposition system and method
US20070218670A1 (en) Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
CN101205605B (zh) 用于热增强和等离子体增强气相沉积的装置及操作方法
KR101281863B1 (ko) 증착 시스템

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091112

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111018

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120529

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20120828

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20120927

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20151005

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5101868

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250