JP5209197B2 - 熱およびプラズマ増強蒸着のための装置および操作方法 - Google Patents

熱およびプラズマ増強蒸着のための装置および操作方法 Download PDF

Info

Publication number
JP5209197B2
JP5209197B2 JP2006311396A JP2006311396A JP5209197B2 JP 5209197 B2 JP5209197 B2 JP 5209197B2 JP 2006311396 A JP2006311396 A JP 2006311396A JP 2006311396 A JP2006311396 A JP 2006311396A JP 5209197 B2 JP5209197 B2 JP 5209197B2
Authority
JP
Japan
Prior art keywords
substrate
deposition
assembly
processing space
space
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2006311396A
Other languages
English (en)
Other versions
JP2007162131A (ja
JP2007162131A5 (ja
Inventor
一成 李
忠大 石坂
薫 山本
淳 五味
正道 原
敏章 藤里
ジャック・ファーゲ
寧 水澤
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2007162131A publication Critical patent/JP2007162131A/ja
Publication of JP2007162131A5 publication Critical patent/JP2007162131A5/ja
Application granted granted Critical
Publication of JP5209197B2 publication Critical patent/JP5209197B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/12Deposition of aluminium only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/301AIII BV compounds, where A is Al, Ga, In or Tl and B is N, P, As, Sb or Bi
    • C23C16/303Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • H01J2237/2001Maintaining constant desired temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Description

本出願は、米国特許出願シリアル番号11/090,255号、代理人整理番号267366US、クライアント参照番号TTCA19、「プラズマ増強原子層堆積システム」の名称で、現在、米特許出願公報番号2004VVVVVVVVVV号に関連し、参照によってその全体の内容はここに引用されるものである。本出願は、米国シリアル番号11/084,176号、代理人整理番号265595US、クライアント参照番号TTCA24、「堆積システムおよび方法」の名称で、現在米国特許出願公報2004VVVVVVVVVV号に関連し、参照によってその全体の内容はここに引用されるものである。本出願は、米国特許出願シリアル番号XX/XXX,XXX号、「コンタミネーションの減少を有するプラズマ増強原子層堆積システム」の名称で、クライアント参照番号TTCA27、現在米国特許出願公報番号2004VVVVVVVVVV号に関連し、参照によってその全体の内容はここに引用されるものである。本出願は、米国シリアル番号XX/XXX,XXX号、「熱およびプラズマ増強蒸着を実行する方法およびシステム」の名称で、代理人整理番号2274017US、クライアント参照番号TTCA54で、現在米国特許出願公開番号2006VVVVVVVVVV号に関連し、参照によってその全体の内容は、ここに引用したものとする。本出願は、米国シリアル番号XX/XXX,XXX号、代理人整理番号2274020US、クライアント参照番号TTCA55で、「プラズマ増強原子層堆積のための堆積システムおよび方法」の名称で、現在米国特許出願公報番号2006VVVVVVVVVVに関連し、参照によってその全体の内容はここに引用されるものである。本出願は、米国シリアル番号XX/XXX,XXX号、「処理システムの第2の室部分に第1のチャンバ部分を密封するための方法とシステム」の名称で、代理人整理番号2274016US、クライアント参照番号TTCA63、現在米国特許出願公開番号2006VVVVVVVVVV号に関連し、その全体の内容は、参照によって、ここに引用したものとする。
本発明は、堆積システムおよびその操作方法に関し、より詳しくは、本発明は、材料堆積および移送のための別個の領域を有する堆積システムに関する。
一般的に、材料プロセスの間、複合材料構造を製造するときに、プラズマは、材料膜の追加および除去を容易にするようにしばしば使用される。例えば、半導体プロセスにおいて、ドライプラズマエッチングプロセスは、シリコン基板上の微細線に沿ってまたはビアまたはコンタクト内で材料を除去またはエッチングするために、多くの場合、利用される。別の形態として、例えば、蒸着プロセスは、シリコン基板上の微細線に沿ってまたはビアまたはコンタクト内に材料を堆積させるために利用される。後者において、蒸着プロセスは、化学気相成長(CVD)およびプラズマ増強化学的気相成長(PECVD)を含む。
PECVDにおいて、プラズマは、膜堆積メカニズムを変更するかまたは増強するために利用される。例えば、プラズマ励起は、一般的に、熱励起CVDプロセスによって同様の膜を生成することを必要とするそれらより非常に低い温度で進行する膜形成反応を一般に許容する。加えて、プラズマ励起は、熱CVDにおいてエネルギー的にまたは動力学的に充足されていない膜形成化学反応をアクティブにすることができる。PECVD膜の化学および物理的な特性は、それにより、プロセスパラメータを調整することによって、相対的に広い範囲を通して変化されることができる。
近年、原子層堆積(atomic layer deposition:ALD)およびプラズマ増強されたALD(PEALD)は、前工程(FEOL)オペレーションの超極薄ゲート膜形成に対する候補として、同じく後工程(BEOL)オペレーションのメタライゼーションに対する超極薄バリア層およびシード層形成に対する候補として現れた。ALDにおいて、2つまたはより多くのプロセスガス、例えば膜プリカーサおよび還元ガスは、基板が同時に材料膜の単分子層を形成するために加熱されている間に、交互におよびシーケンシャルに導入される。PEALDにおいて、プラズマは、還元プラズマを形成するために、還元ガスの導入の間、形成される。今日まで、ALDおよびPEALDプロセスは、これらのプロセスがそれらCVDおよびPECVDの対照物より遅いにもかかわらず、層が堆積する形態への改良された層厚さの均一性および一様性(conformality)を提供すると証明された。
本発明の1つの目的は、これまでの縮小を続けるライン寸法での半導体プロセスに関し、一様性、密着性、および純度がその結果として半導体デバイスに影響を及ぼすますます重要な問題になっているさまざまな課題を対象にすることに向けられる。
本発明の別の目的は、その後の堆積され、または処理される層のインターフェース間のコンタミネーション問題を減らすことである。
本発明の別の目的は、同じシステム内で、蒸着(vapor deposition)プロセスおよびサンプル移送に対する互換性がある構成を提供することである。
本発明のこれらおよび/または他の目的のバリエーションは、本発明の特定の実施形態によって提供される。
本発明の一実施態様において、処理システムの移送空間から真空絶縁された(vacuum isolated)処理システムの処理空間に基板を配置し、移送空間から真空アイソレーションを維持しながら、処理空間の第1の位置または第2の位置のどちらかで基板を処理し、第1の位置か第2の位置の前記基板上に材料を堆積させるための、蒸着システムの基板上への材料の堆積方法は、提供される。
本発明の他の実施例において、材料堆積を容易にするように構成された処理空間を有する第1のアセンブリと、第1のアセンブリに組み合わせられ、堆積システムとの間で基板の移送を容易にするための移送空間を有している第2のアセンブリと、第2のアセンブリに接続され、処理空間の第1の位置から処理空間の第2の位置までの間に基板を支持し、移動するように構成された基板ステージとを含む、堆積物を基板の上に形成するための堆積システムは、提供される。システムは、処理空間内で基板の移動の間、処理空間と、移送空間との間のガス流れを妨げるように構成された封止を有するシーリングアセンブリを含む。
以下の説明では、完全な本発明の理解を容易にするために、並びに説明およびそれ以外の目的のために、堆積システムおよび各種コンポーネントの内容の特定の幾何配置のような具体的な詳細は、記載される。しかしながら、本発明がこれらの具体的な詳細から逸脱する他の実施形態において実施されることができると理解されるべきである。
図面を参照すると、参照番号がいくつかの図の全体にわたって同一であるか対応する部品を示すようになされ、図1Aは、例えばプラズマ増強原子層堆積(PEALD)プロセスを使用して、基板上に例えばバリア膜のような薄膜を堆積させるための堆積システム101を示す。配線工程(BEOL)オペレーションにおける半導体デバイスに対する相互接続(inter−connection)および内部接続(intra―connect)構造のメタライゼーションにおいて、薄い一様な(conformal)バリア層は、層間または同層間誘電体内の金属のマイグレーションを最小にするためにトレンチまたはビアを配線する上に堆積されることができ、薄い一様なシード層は、バルク金属の埋め込みに対する許容できる密着性を有する膜を提供するためにトレンチまたはビアを配線する上に堆積されることができ、および/または、薄い一様な密着層は、金属シード堆積に対する許容できる密着性を有する膜を提供するためにトレンチまたはビアを配線する上に堆積されることができる。これらのプロセスに加えて、銅のようなバルク金属は、トレンチまたはビアを配線する内部に堆積されなければならない。
ライン寸法が縮小するとき、PEALDは、このような薄膜の主要な候補として現れた。例えば、薄いバリア層は、自己制限的(self−limiting)ALDプロセス、例えばPEALDを使用して、好ましくは実行される。その理由は、それは、複雑な高いアスペクト比形態に対し良好な一様性を提供するからである。自己制限的蒸着特性を達成するために、PEALDプロセスは、異なるプロセスガス(例えば、膜プリカーサおよび還元ガス)交互にすることを含み、それによって、膜プリカーサは、第1のステップで基板表面に吸着され、そして、それで第2のステップで所望の膜を形成するように還元された。
真空チャンバの2つのプロセスガスの交替のために、堆積は、相対的に遅い堆積速度でなされる。
本発明の発明者は、PEALDプロセスにおける第1の(プラズマ無し)ステップ、すなわち膜プリカーサ吸着が、スループットを増加させ、および/またはプロセスガスを維持するために小さい処理空間ボリュームからの利益を得ることができると認識し、一方でより大きい処理空間ボリュームは、PEALDプロセスにおける第2の(プラズマアシストされた還元)ステップの間、均一なプラズマを維持するのに必要とされると認識した。
したがって、それは、関連する出願として「熱およびプラズマ増強蒸着を実行するための方法およびシステム(METHOD AND SYSTEM FOR PERFORMING THERMAL AND PLASMA ENHANCED VAPOR DEPOSITION)」および「プラズマ増強原子層堆積のための堆積システムおよび方法(A DEPOSITION SYSTEM AND METHOD FOR PLASMA ENHANCED ATOMIC LAYER DEPOSITION)」に異なるプロセスまたはステップに適応する処理空間のサイズを変化することが記載されている。
加えて、本発明も、望ましく、PEALDプロセスが、基板が処理チャンバとの間で移送される移送空間から実行される処理空間を分離する。処理空間および移送空間の物理的なアイソレーションは、被処理基板のコンタミネーションを減少する。CVDおよびALDプロセスが、他の堆積技術、例えば物理蒸着(PVD)より「汚い(dirtier)」と知られているので、処理空間および移送空間の物理的なアイソレーションは、処理チャンバから、中心の移送システムに組み合わせられる他の処理チャンバまで、コンタミネーションの移送を更に減少することができる。したがって、本発明の一態様は、移送空間からの処理空間のアイソレーションを提供し、維持する。したがって、本発明の別の態様は、処理空間のサイズを変化している間、移送空間からの処理空間のアイソレーションを提供し、維持する。
更に、CVDおよびALDプロセスのために使用される材料は、ますますより複雑になる。例えば、金属含有膜を堆積させるときに、金属ハロゲン化物の膜プリカーサ、または有機金属の膜プリカーサは利用される。このように、処理チャンバは、堆積システムの壁上に、プリカーサ残渣、若しくは部分的に分解されたプリカーサ残渣、またはその両方によって多くの場合汚染される。その結果、真空バッファチャンバは、他の処理チャンバにプロセスウェハを移送する真空の(in vacuo)移送システムから堆積システムをアイソレートするように使用された。しかしながら、バッファチャンバは、全体の製造プロセスに対し、より多くの費用および時間を追加する。
チャンバ表面上の膜プリカーサ残渣を減少する1つの方法は、プリカーサの累積が生じ得ないポイントまで、処理チャンバの表面の温度を増加させることである。しかしながら、本発明の発明者は、このような高温チャンバ(特にエラストマシールが使用されるときに)によって(真空)処理チャンバの外側からの空気および水蒸気、つまりは汚染物質が封止(seal)を通って浸透することが起こることがあり得ると認識した。例えば、より低い温度の別のチャンバコンポーネントを有し、昇温された温度に1つのチャンバコンポーネントを維持する間、発明者は、シール部材が従来のシーリングスキームによって使用されるエラストマシールを含むとき、チャンバの外部から処理チャンバコンタミネーションが増加することを観測した。
それ故、本発明の別の態様は、プロセスの間、処理チャンバの移送空間から処理空間を物理的に分離させることであり、このことにより、移送空間領域内のコンタミネーションを減少するようにより低い温度で移送空間表面を維持する一方、膜プリカーサ累積を減少するために相対的に高い温度で処理空間表面を維持することである。
図1Aに示すように、本発明の1つの実施形態で、堆積システム101は、薄膜のような材料堆積物が形成される基板125を支持するように構成された基板ステージ120を有する処理チャンバ110を含む。処理チャンバ110は、アセンブリ130が基板ステージ120に組み合わせられるときに、処理空間180を規定するように構成された上部チャンバと、移送空間182を規定するように構成された下部チャンバアセンブリ132とを更に含む。オプションとして、図1Bに示すように、中間のセクション131(すなわち中間チャンバ(mid―chamber)アセンブリ)は、上部チャンバアセンブリ130を下部チャンバアセンブリ132に接続するために、堆積システム101’で使われることができる。加えて、堆積システム101は、第1のプロセス材料、第2のプロセス材料、またはパージガスを処理チャンバ110に導入するように構成されたプロセス材料供給システム140を含む。加えて、堆積システム101は、処理チャンバ110に組み合わせられ、処理チャンバ110のプラズマを生成するように構成された第1の電源150と、基板ステージ120に組み合わせられ、基板125の温度を上昇し、制御するように構成された基板温度コントロールシステム160とを含む。加えて、堆積システム101は、処理チャンバ110および基板ホルダ120に組み合わせられ、基板125に隣接する処理空間180のボリュームを調整するように構成されたプロセスボリューム調整システム122を含む。例えば、プロセスボリューム調整システム180は、基板125を処理するための第1の位置(図1Aおよび図1Bを参照)と、処理チャンバ110との間で基板125を移送するための第2の位置(図2Aおよび図2Bを参照)との間で基板ホルダ120を垂直に移動するように構成されることができる。
さらにまた、堆積システム101は、処理空間180に組み合わせられる第1の真空ポンプ190を含み、そこにおいて、第1の真空バルブ194は、処理空間180に供給される排気速度を制御するのに利用される。堆積システム101は、移送空間182に組み合わせられた第2の真空ポンプ192を含み、そこにおいて、第2の真空バルブ196は、必要に応じて、移送空間182から第2の真空ポンプ192をアイソレートするために利用される。
更にその上、堆積システム101は、処理チャンバ110、基板ホルダ120、上部アセンブリ130、下部アセンブリ132、プロセス材料供給システム140、第1の電源150、基板温度コントロールシステム160、プロセスボリューム調整システム122、第1の真空ポンプ190、第1の真空バルブ194、第2の真空ポンプ192、および第2の真空バルブ196に組み合わせられることができるコントローラ170を含む。
堆積システム101は、200mm基板、300mmの基板、またはより大きいサイズの基板を処理するように構成されることができる。事実、当業者によって理解されるように、堆積システムがそれらのサイズを問わず基板、ウェハ、またはLCDを処理するように構成されることができることは、意図される。基板は、処理チャンバ110に導入されることができ、基板リフトシステム(図示せず)を介して基板ホルダ120の上面に、および上面からリフトされることができる。
プロセス材料供給システム140は、処理チャンバ110に第1のプロセス材料を、および処理チャンバ110に第2のプロセス材料を交互に導入するように構成された第1のプロセス材料供給システム、および第2のプロセス材料供給システムを含むことができる。第1のプロセス材料の導入と、第2のプロセス材料の導入との交替は、周期的であり得て、または、それは、第1および第2のプロセス材料の導入の間の可変的な時間によって周期的であり得る。第1のプロセス材料は、例えば、膜プリカーサ、例えば基板125の上に形成される膜内に見つかる主要な原子、または分子種を有する組成を含むことができる。例えば、膜プリカーサは、固相、液相、または気相として始まることができ、そして、気相で処理チャンバ110に供給されることができる。第2のプロセス材料は、例えば、還元剤を含むことができる。例えば、還元剤は、固相、液相、または気相として始まることができ、そして、それは、気相で処理チャンバ110に供給されることができる。ガス状の膜プリカーサおよび還元ガスの実施例は、下で挙げられる。
加えて、プロセス材料供給システム140は、処理チャンバ110に、第1のプロセス材料および第2のプロセス材料の、それぞれの導入の間、処理チャンバ110にパージガスを導入するように構成されることができるパージガス供給システムを更に含むことができる。パージガスは、不活性ガス、例えば希ガス(すなわちヘリウム、ネオン、アルゴン、キセノン、クリプトン)または窒素(および、窒素含有ガス)または水素(および水素含有ガス)を含むことができる。
プロセスガス供給システム140は、1つ以上の材料ソース、1つ以上の圧力制御装置、1つ以上の流量制御装置、1つ以上のフィルタ、1つ以上のバルブ、または1つ以上のフローセンサを含むことができる。プロセスガス供給システム140は、プレナム142に1つ以上のプロセスガスを供給することができ、そして、それを介して、ガスは、注入プレート144の複数のオリフィス146に分散される。注入プレート144の複数のオリフィス146は、処理空間180の中でプロセスガスの分布を容易にする。シャワーヘッドデザインは、周知のように、処理空間180に均等に第1および第2のプロセスガス材料を分配するために用いられることができる。典型的なシャワーヘッドは、係属中の米国特許出願公開番号20040123803号において更に詳細に記載されている。そして、それの全体の内容は、参照によって、ここに取り入れられ、先の、米国シリアル番号11/090,255号を参照することよって、取り入れられる。
図1Aに戻って参照して、堆積システム101は、熱堆積プロセス(すなわちプラズマを利用していない堆積プロセス)、例えば熱原子層堆積(ALD)プロセス、または熱化学気相成長(CVD)プロセスを実行するように構成されることができる。別の形態として、堆積システム101は、第1のプロセス材料または第2のプロセス材料のどちらかでプラズマがアクティブにされ得るプラズマ増強堆積プロセスに対して構成されることができる。プラズマ増強堆積プロセスは、プラズマ増強ALD(PEALD)プロセス、または、それは、プラズマ増強CVD(PECVD)プロセスを含むことができる。
PEALDプロセスで、第1のプロセス材料、例えば膜プリカーサと、第2のプロセス材料、例えば還元ガスとは、シーケンシャルに、および、交互に、基板上に薄膜を形成するように導入される。例えば、PEALDプロセスを使用してタンタル含有膜を作成するときに、膜プリカーサは、金属ハロゲン化物(例えば五塩化タンタル)、または有機金属(例えば、Ta(NC(CH)(N(CH;以下ではTAIMATA(登録商標)と称する;さらに詳細は、米国特許番号6,593,484号に示す)を含むことができる。この例では、還元ガスは、水素、アンモニア(NH)、NおよびH、N、NH(CH、若しくはNCH、またはそれらのいずれかの組合せを含むことができる。
膜プリカーサは、基板125の露出表面上に膜プリカーサの吸着が生じるために、第1の期間の間、処理チャンバ110に導入される。望ましくは、材料の単分子層吸着は、起こる。その後、処理チャンバ110は、第2の時間の間、パージガスによってパージされる。基板125上に膜プリカーサを吸着した後に、還元ガスは、第3の時間の間、処理チャンバ110に導入され、一方で、例えば、パワーが第1の電源150から還元ガスまで上部アセンブリ130を介して結合される。例えば、所望のTa含有膜を形成するために吸着されたTa膜プリカーサを還元するように吸着されたTa膜プリカーサと反応することができる原子状水素のような解離された種を形成するために、還元ガスへのパワーの結合が還元ガスを加熱し、したがって、還元ガスのイオン化および解離が生じる。十分な厚さの層を含むTaが発生されるまで、このサイクルは繰り返されることができる。
更に、第2のプロセス材料は、並行に、または処理空間180のボリュームがV1からV2まで増加される時間に殆ど直ちに導入される。パワーは、第1の電源150から第2のプロセス材料まで基板ステージ120を介して結合されることができる。第2のプロセス材料へのパワーの結合は、第2のプロセス材料を加熱し、したがって、第1のプロセス材料の吸着された構成要素を還元するために第2のプロセス材料のイオン化および解離(すなわちプラズマ形成)が起こされる。処理チャンバは、別の期間の間、パージガスによってパージされることができる。第2のプロセス材料がある間、第1のプロセスガス材料の導入、第2のプロセス材料の導入、およびプラズマの形成は、所望の厚さの膜を生成するように多くの回数繰り返すことができる。
さらに、第1のプロセスガス材料が処理空間を通過し、基板の表面上に第1のプロセス材料のある割合いが吸着するように、第1のボリューム(V1)は十分に小さくなり得る。処理空間の第1のボリュームが減少されるように、基板表面上の吸着のために必要な第1のプロセス材料の量は減少され、第1の処理空間の中で第1のプロセス材料を交換するのに必要とする時間は、短縮される。例えば、処理空間の第1のボリュームが減少されるとき、したがって、滞留時間は、短縮される。そして、第1の期間の短縮を可能にする。
図1に示すように、処理空間180は、基板ステージ120、基板ステージ120上のフランジ302、および上部チャンバアセンブリ130からの延長304によって移送空間182から分離される。このように、処理空間と、移送空間と(後で詳しく議論される)の間のガス流れを密封するかまたは少なくとも妨げるために、延長304のベースにシール機構があることができる。したがって、移送空間の表面が下部アセンブリ132(側壁を含む)および中間のセクション131、並びに上部アセンブリ132のコンタミネーションを減少するために低下された温度で維持されることができる一方、処理空間180の表面は、その空間を囲んでいる表面上のプロセス残渣の累積を予防するために昇温状態で維持されることができる。
移送空間から処理空間の分離に関しては、本発明の1つの実施形態において、低下された温度の下部チャンバアセンブリ132から、上昇された上部チャンバアセンブリ130の熱分離を含む。熱分離のために、延長304は、放射シールドとして機能することができる。さらに、内側のチャネル312を含む延長304は、延長304を囲む移送空間182に延長部材を横切る熱流を制限している熱インピーダンスとして機能することができる。
熱分離の別の実施例において、冷却チャンネルは、図1Aに示すように、下部チャンバアセンブリ132の近くの、若しくは図1Bで示すように中間のセクション131の近くの上部チャンバアセンブリ130に提供されることができ、または中間のセクション131に提供されることができる。更に、上部チャンバアセンブリ130および中間のセクション131に対する材料の熱伝導率は、異なることがあり得る。例えば、上部チャンバアセンブリ130は、アルミニウムまたはアルミニウム合金でできていることがあり得て、中間のセクション131は、ステンレス鋼でできていることがあり得る。下部チャンバアセンブリ132は、、アルミニウムまたはアルミニウム合金でできていることがあり得る。
一つの実施例において、蒸着プロセスは、Ta膜プリカーサ、例えばTaF、TaCl、TaBr、Tal、Ta(CO)、Ta[N(CCH)](PEMAT)、Ta[N(CH(PDMAT)、Ta[N(C(PDEAT)、Ta(NC(CH)(N(C(TBTDET)、Ta(NC)(N(C、Ta(NC(CH)(N(CH、若しくはTa(NC(CH)(N(CHを基板表面に吸着し、次にH、NH、NおよびH、N、NH(CH、またはNCHのような還元ガス若しくはプラズマにさらすことによって、タンタル(Ta),タンタル炭化物、タンタル窒化物、またはタンタル炭窒化物を堆積させるのに用いられることができる。
別の実施例において、チタン(Ti)、窒化チタン、またはチタン炭窒化物は、Tiプリカーサ、例えばTiF、TiCl、TiBr、Til、Ti[N(CCH)](TEMAT)、Ti[N(CH(TDMAT)、またはTi[N(C(TDEAT)、並びに、H、NH、NおよびH、N、NH(CHまたはNCHを含む還元ガスまたはプラズマを使用して堆積されることができる。
別の例として、タングステン(W)、タングステン窒化物、またはタングステン炭窒化物は、Wプリカーサ、例えばWF、またはW(CO)、並びに、H、NH、NおよびH、N、NH(CHまたはNCHを含んでいる還元ガスおよびプラズマを使用して堆積させることができる。
他の例では、モリブデン(Mo)は、Moプリカーサ、例えばモリブデン六フッ化物(MoF)、および、Hを含む還元ガスまたはプラズマを使用して堆積されることができる。
他の例では、Cuは、Cuを含有する有機金属化合物を有するCuプリカーサ、例えば商品名CupraSelect(登録商標)によって知られたシューマッハー、エアプロダクツアンドケミカルズのユニット会社(1969 パロマーオークウェイ、カールズバッド、カリフォルニア 92009)から入手可能なCu(TMV)(hfac)、または無機化合物、例えばCuClを使用して堆積されることができる。還元ガスまたはプラズマは、H,O、N、NHまたはHOのうちの少なくとも1つを含むことができる。ここで使用しているように、用語「A,B、C、…またはXのうちの少なくとも1つ」は、記載された素子または記載された素子の1つより多くのもののいずれかの組合せを称する。
蒸着プロセスの別の実施例において、酸化ジルコニウムを堆積させるときに、Zrプリカーサは、Zr(NOまたはZrC1を含むことができ、還元ガスは、HOを含むことができる。
酸化ハフニウムを堆積させるときに、Hfプリカーサは、Hf(OBu、Hf(NO、またはHfC1を含むことができ、還元ガスは、HOを含むことができる。他の例では、ハフニウム(Hf)を堆積させるときに、Hfプリカーサは、HfC1を含むことができ、第2のプロセス材料は、Hを含むことができる。
ニオブ(Nb)を堆積させるときに、Nbプリカーサは、五塩化ニオブ(NbC1)を含むことができ、還元ガスは、Hを含むことができる。
亜鉛(Zn)を堆積させるときに、Znプリカーサは、二塩化亜鉛(ZnC1)を含むことができ、還元ガスは、Hを含むことができる。
酸化シリコンを堆積させるときに、Siプリカーサは、Si(OC、SiHCl、SiC1、またはSi(NOを含むことができ、還元ガスは、HOまたはO含むことができる。他の例では、窒化シリコンを堆積させるときに、Siプリカーサは、SiC1またはSiHClを含むことができ、還元ガスは、NH、またはNおよびHを含むことができる。他の例では、TiNを堆積させるときに、Tiプリカーサは、硝酸チタン(Ti(NO))を含むことができ、還元ガスは、NHを含むことができる。
蒸着プロセスの別の実施例において、アルミニウムを堆積させるときに、Alプリカーサは、塩化アルミニウム(A1C1)またはトリメチルアルミニウム(Al(CH)を含むことができ、還元ガスは、Hを含むことができる。窒化アルミニウムを堆積させるときに、Alプリカーサは、アルミニウム三塩化物またはトリメチルアルミニウムを含むことができ、還元ガスは、NH、またはNおよびHを含むことができる。他の例では、酸化アルミニウムを堆積させるときに、Alプリカーサは、塩化アルミニウムまたはトリメチルアルミニウムを含むことができ、還元ガスは、HO、またはOおよびHを含むことができる。
蒸着プロセスの別の実施例において、GaNを堆積させるときに、Gaプリカーサは、硝酸ガリウム(Ga(NO)またはトリメチルガリウム(Ga(CH)を含むことができ、還元ガスは、NHを含むことができる。
さまざまな材料層を形成するための上記実施例において、堆積されるプロセス材料は、金属膜、金属窒化膜、金属炭窒化物膜、金属酸化膜、または金属ケイ酸塩膜のうちの少なくとも1つを含むことができる。例えば、堆積されるプロセス材料は、タンタル膜、タンタル窒化膜、またはタンタル炭窒化物膜のうちの少なくとも1つを含むことができる。別の形態として、例えば、堆積されるプロセス材料は、例えば、1つの金属ラインを別の金属ラインに接続するためのまたは金属ラインを半導体デバイスのソース/ドレイン接点に接続するためのビアを金属被覆するために堆積するAl膜、またはCu膜を含むことができる。AlまたはCu膜は、上記の通りにAlおよびCuのためのプリカーサを使用してプラズマプロセスの有無にかかわらず形成されることができる。別の形態として、例えば、堆積されるプロセス材料は、半導体デバイスの金属ラインまたはゲート構造に対する例えば上記のような絶縁被膜を形成するために、堆積させるジルコニウム酸化膜、ハフニウム酸化膜、ハフニウムケイ酸塩膜、シリコン酸化膜、窒化シリコン膜、チタン窒化膜、および/またはGaN膜を含むことができる。
更に、シランおよびジシランは、シリコンベースまたはシリコン含有膜の堆積のためのシリコンプリカーサとして使われることがあり得る。Germaneは、ゲルマニウムベースまたはガルマニウム含有膜の堆積のためのゲルマニウムプリカーサとして使用されることがあり得る。このように、堆積されるプロセス材料は、半導体デバイスの導電性ゲート構造を形成するために、例えば堆積される金属シリサイド膜、および/またはゲルマニウム含有膜を含むことができる。
なお図1Aを参照して、堆積システム101は、処理チャンバ110に第1のプロセス材料および第2のプロセス材料の導入を交替している少なくとも一部の間、プラズマを生成するように構成されたプラズマ発生システムを含む。プラズマ発生システムは、処理チャンバ110に組み合わせられ、処理チャンバ110の第1のプロセス材料、若しくは第2のプロセス材料、または両方に対してパワーを結合させるよう構成されている第1の電源150を含むことができる。第1の電源150は、ラジオ周波数(RF)発生器およびインピーダンス整合ネットワーク(図示せず)を含むことができ、および、RF電力が処理チャンバ110のプラズマに結合される電極(図示せず)を更に含むことができる。電極は、基板ステージ120内に形成されることができ、または上部アセンブリ130に形成されることができ、および基板ステージ120に向かい合わせるように構成されることができる。基板ステージ120は、直流電圧、または、RF発振器(図示せず)からインピーダンス整合ネットワーク(図示せず)を通して基板ステージ120にRF電力の伝達を介するRF電圧によって、電気的にバイアスをかけられることができる。
インピーダンスマッチングネットワークは、電極およびプラズマを含む処理チャンバの入力インピーダンスにマッチングネットワークの出力インピーダンスを適合させることによってRF発振器からプラズマまでのRF電力の移送を最適化するように構成されることができる。例えば、インピーダンスマッチングネットワークは、反射されたパワーを減少することによってプラズマ処理チャンバ110のプラズマへのRF電力の移送を改良するのに役立つ。マッチングネットワークトポロジ(例えばL−タイプ、π−タイプ、T―タイプなど)および自動制御法は、当業者にとって周知である。RF電力に対する典型的な周波数は、約0.1MHzから約100MHzまでの範囲である。別の形態として、RF周波数は、例えば、ほぼ400kHzからほぼ60MHzまでの範囲とすることができる。例えば更なる実施例として、RF周波数は、ほぼ13.56または27.12MHzであり得る。
なお、図1Aを参照し、堆積システム101は、基板ステージ120に組み合わせられ、基板125の温度を上昇し、制御させるように構成された基板温度コントロールシステム160を含む。基板温度コントロールシステム160は、温度コントロール素子、例えば基板ステージ120から熱を受け、熱交換器システム(図示せず)へ熱を移送し、加熱するときには、熱交換器システムから熱を移送する再循環クーラントフローを含む冷却システムを含む。加えて、温度コントロール素子は、加熱/冷却素子、例えば抵抗加熱部材を含むことができ、または、熱電式ヒータ/冷却器は、基板ホルダ120内に、同じく処理チャンバ110のチャンバ壁、および堆積システム101内の他のいかなるコンポーネントにも含まれることができる。
基板125と、基板ステージ120との間の熱移送を改良するために、基板ステージ120は、基板ステージ120の上面に基板125を固定するために、機械的なクランピングシステムまたは電気的なクランピングシステム、例えば静電クランピングシステムを含むことができる。さらにまた、基板ホルダ120は、基板125と、基板ステージ120との間のガスギャップ熱伝導を改良するために基板125の裏面にガスを導入するように構成された基板裏面ガス給送システムを更に含むことができる。このようなシステムは、基板の温度コントロールが上昇したか低下された温度で必要とされるときに、利用されることができる。例えば、基板裏面ガスシステムは、2−ゾーンガス分配システムを含むことができ、そこにおいて、ヘリウムガスギャップ圧力は、基板125のセンターおよびエッジの間で独立して変化されることがあり得る。
さらにまた、処理チャンバ110は、第1の真空ポンプ190と、第2の真空ポンプ192とに更に組み合わせられる。第1の真空ポンプ190は、ターボ分子ポンプを含むことができ、第2の真空ポンプ192は、クライオポンプ(cryogenic pump)を含むことができる。
第1の真空ポンプ190は、1秒あたり約5000リットル(および、より高い)までの排気速度が可能なターボ分子真空ポンプ(TMP)を含むことができ、バルブ194は、チャンバ圧力をスロットル制御するためのゲートバルブを含むことができる。ドライプラズマエッチングのために利用される従来のプラズマ処理装置において、1秒あたり1000〜3000リットルのTMPは、通常、使用される。さらに、チャンバ圧力をモニタリングするためのデバイス(図示せず)は、処理チャンバ110に組み合わせられることができる。圧力を測定するデバイスは、例えば、MKS Instruments社(アンドーバー、MA)から市販されているタイプ628B Baratron絶対キャパシタンスマノメータであり得る。
図1A、図1B、図2Aおよび図2Bに示すように、第1の真空ポンプ190は、基板125の平面より上に位置づけられるように、処理空間180に組み合わせられることができる。しかしながら、第1の真空ポンプ190は、例えば、粒子汚染を減少するために、基板125の平面の下の位置から処理空間180を排気するように、処理空間180にアクセスするように構成されることができる。処理空間180からの排気の位置と、第1の真空ポンプ190に対する注入口との間に組み合わせられる流体は、最大限の流れのコンダクタンスのために設計されることができる。代わりとして、処理空間180からの排気位置と、第1の真空ポンプ190に対する注入口との間の流体は、実質的に一定の断面積に対して設計されることができる。
1つの実施形態において、第1の真空ポンプ190は、上部チャンバアセンブリ130より上に位置づけられ、それの上面に組み合わせられる(図1Aを参照)。第1の真空ポンプ190の注入口191は、少なくとも1つの環状のボリューム、例えばポンピング流路312に組み合わせられ、それは、1つ以上の開口305に延長304を介して組み合わせられ、基板125の平面の下の位置で処理空間180にアクセスする。1つ以上の開口305は、1つ以上のスロット、1つ以上のオリフィス、またはそれらのいずれかの組合せを含むことができる。
別の実施形態において、第1の真空ポンプ190は、上部チャンバアセンブリ130より上に位置づけられ、それの上面に組み合わせられる(図1Aを参照)。
第1の真空ポンプ190の注入口191は、第2の環状のボリュームに順番に組み合わせられる第1の環状のボリュームに組み合わせられ、それによって第1の環状のボリュームおよび第2の環状のボリュームは、1つ以上の排気ポートを介して組み合わせられる。第2の環状のボリュームは、ポンピング流路312に組み合わせられることができ、それは、1つ以上の開口305に延長304を介して組み合わせられ、基板125の平面の下の位置で処理空間180にアクセスする。例えば、1つ以上の排気ポートは、第1の環状のボリュームと、第2の環状のボリュームとの間の直径方向に互いに(すなわち、180度別々の)向かい合わせている2つのスルーホールを含むことができる。しかしながら、排気ポートの数は、より多くまたは少なくでき、それらの位置は変化することができる。加えて、例えば、1つ以上の開口305は、直径方向に互いに(すなわち、180度別々の)向かい合わせている2つのスロットを含むことができる。さらにまた、各々のスロットは、方位方向(azimuthal direction)でほぼ120度延びることができる。しかしながら、開口305の数は、より多くまたは少なくでき、それらの位置およびサイズは、変化することができる。
上記の如く、上部チャンバアセンブリ130と、下部チャンバアセンブリ132との間の密封を失うことなく処理空間180のボリュームを調整することが可能なことは、望ましい。図3,図4、図5、および図6は、堆積システム101がプロセスする構成にあるときに、上部チャンバアセンブリ130で基板ステージ120を密封(シーリング)する(および、可動に密封する)ためのいくつかの実施形態を示す。このように、システムは、処理空間と、移送空間との間のガスの流れを妨げるシール部材を含む。実際、実施例において、シール部材の封止は、処理空間の真空環境を移送空間の真空環境から分離する。処理空間を移送空間から分離する真空によって、密封は、処理空間と、移送空間との間のリークを10−3Torr−l/s未満に減少することが可能で、好ましくは10−4Torr−l/s未満である。
図3は、基板ステージ120のフランジ302と、上部チャンバアセンブリ130からの延長304との間の封止を生じるための封止構成を示す概略図である。図3に示すように、封止306は、基板ステージ120のフランジ302の溝308に位置づけされる。封止306の詳細は、後述する。図3にて図示したように、封止306は、延長304の下部プレート310(すなわちシールプレート)に接触させる。ポンピング流路312は、プロセス領域180からポンプ190までのガスを排気するために、延長304に提供される。図3に示される構成は、十分な封止を提供するが、封止の損失なしでかなりの縦型移動に適応するものではない。例えば、封止が下部プレート310との接触を離れるに先だって、封止306の厚さのほぼ半分と同等の距離未満の上下運動だけは許容される。
あるアプリケーションにおいて、図3において可能にされるより大きい移動は、望ましい。そのような構成は、図4に示される。図4は、基板ステージ120のフランジ302と、上部チャンバアセンブリ130からの延長304と間の封止を生ずるための封止構成を示している概略図である。図4に示すように、封止314は、たて方向において延びている。図4の実施形態において、封止314は三角形断面を有する。そして、それの頂点は、下部プレート310に接触する。
更に、本発明の1つの実施形態で、下部プレート310は、封止314を不注意による材料堆積、または上記の還元剤を生成するプラズマのようなプラズマ種にさらされることから保護するために、フランジ302の方へ延びる保護ガード316を含む。テーパー付きの封止314で接触する点の上方への基板ステージ120の運動に適応するために、凹部318は、基板ステージ120のフランジ302に提供される。このように、図4に示される構成は、図3に示される封止構成より大きい移動を可能にする。ガード316の利用によって、封止316は、保護されていることができ、材料堆積物またはプラズマ変質により影響されにくくなることができる。
図5は、基板ステージ120のフランジ302と、上部チャンバアセンブリ130からの延長304との間の封止を生ずるための封止構成を示す概略図である。図5において記載される封止構成は、図3および図4に示される封止構成より高い縦方向の基板ステージ120の移動さえ可能にする。本発明の一実施態様において、下部プレート310は、接点プレート322(すなわちシールプレート)を有するベローズユニット320に接続する。
この構成において、初期の封止をするように、封止306を介して縦型移動の基板ステージ120は、接点プレート322に接触する。基板ステージ120が垂直に更に移動するときに、ベローズユニット320は封止の損失なしに更なる縦型移動を可能にするように圧縮している。図4の封止構成に同様である図5に示すように、ガード324は、ベローズユニット320を不注意による材料堆積から保護するために、本発明の1つの実施形態において提供されることができる。ステンレス鋼のような金属材料であるベローズユニット320は、プラズマ曝露から劣化をうけやすくない。更に、図4において、凹部326は、基板ステージ120のフランジ302に提供されることができる。ガード324の利用によって、ベローズユニット320は、保護されていることができ、材料堆積物により影響されにくくできる。
図6は、基板ステージ120のフランジ302と、上部チャンバアセンブリ130からの延長304との間の封止を生ずる封止構成を示す概略図である。図6に記載される封止構成は、図3および図4に示される封止構成より大きい基板ステージ120の移動さえ可能にする。本発明の一実施態様において、下部プレート310は、スライダ−ユニット328に接続する。スライダユニット328は、基板ステージ120のフランジ302上に対応したレセプタプレート332を係合する縦方向に延びている少なくとも1つの長手方向のプレート330を有する。
図6に示すように、本発明の一実施態様において、封止を提供するために、長手方向のプレート330またはレセプタプレート332のいずれかの側壁に配置されている封止334が存在する。本発明の1つの実施形態において、レセプタプレート332は、封止334を不注意による材料堆積またはプラズマ劣化から保護するために、フランジの凹部336に配置されている。更に、封止334は、標準のOリングまたは好ましくは図6で示す先細エラストマシールであり得て、そこにおいて、封止は、例えば、頂点がポイントである三角形断面を有し、基板ステージ120のフランジ302と、上部チャンバアセンブリ130との間を密封する。図6において記載される封止構成は、図3および図4に示される封止構成より、封止の損失のない基板ステージのより高い移動さえ可能にする。長手方向のプレート330は、材料堆積物またはプラズマ劣化から封止334の保護を提供する。
図4〜図6に示される封止構成において、例えば、処理空間180の第2のボリューム(V2)が、第2のプロセス材料からのプラズマの形成が、処理空間180と、下部アセンブリ132との真空の間の封止の損失なしに、基板より上に均一なプラズマの形成に至るボリュームにセットされることである。プロセスジオメトリに相当する均一性のプラズマプロセスジオメトリを提供することが可能な本発明に係る能力は、異なる処理システムの間に基板を移送することを必要とすることなしに、同じシステムの連続的なプロセスまたはプロセスステップ、言い換えればプラズマ無しおよびプラズマあり、を実行するように本発明を可能にする。そして、このことによりプロセス時間を節約し、プロセス膜の間のインターフェースでの表面汚染を減少する。そして、その結果として、膜に対して改良された材料特性に至る。
図7は、本発明の1つの実施形態に係るプロセスのプロセスフロー図を示す。図7のプロセスは、図1〜図2または他のいかなる適切な処理システムの処理システムによっても実行されることができる。図7に示すように、ステップ710で、プロセスは、基板を処理システムの移送空間から絶縁された真空である処理システムの処理空間に配置することを含む。ステップ720において、移送空間から真空アイソレーションを維持する一方、基板は、処理空間の第1の位置、または第2の位置のどちらかで処理される。ステップ730において、材料は、第1の位置か第2の位置で基板に堆積される。
ステップ710〜730において、第2のアセンブリが100℃以下で維持される間、第1のアセンブリは、100℃以上で維持されることができる。ステップ710〜730において、第2のアセンブリが50℃以下に維持され、第1のアセンブリは、50℃以上で維持されることができる。ステップ710〜730で、処理空間から移送空間までのガスコンダクタンスは、10−3Torr−1/s未満に対し、好ましくは、10−4Torr−1/s未満である。
ステップ730において、材料を堆積させるために、プロセスガス組成は、材料の蒸着のためのプロセスに導入されることができる。更に、プラズマは、蒸着速度を増強するために、プロセスガス組成から形成されることができる。
ステップ730において、堆積する材料は、金属、金属酸化物、金属窒化、金属炭窒化物、または金属シリサイドのうちの少なくとも1つであり得る。例えば、堆積する材料は、タンタル膜、タンタル窒化膜、またはタンタル炭窒化物膜のうちの少なくとも1つであり得る。
処理システムは、原子層堆積(ALD)プロセス、プラズマ増強ALD(PEALD)プロセス、化学気相成長(CVD)プロセス、またはプラズマ増強CVD(PECVD)プロセスのうちの少なくとも1つのために構成されることができる。
ステップ730において、プラズマは、処理空間のプロセスガスに0.1から100MHzまでの周波数でラジオ周波数(RF)エネルギを印加することによって形成されることができる。ステップ730の間、電極は、RF電力電源に接続されることができ、処理空間にRFエネルギを結合させるように構成されることができる。本発明の一態様において、プラズマを形成する前に、処理空間のボリュームは、プラズマ均一性のためにより貢献する条件を容易にするために広げられる。このように、ステップ730の前に、基板ステージは、蒸着プロセスのプラズマ均一性を改良する位置に移動されることができる。例えば、基板ステージは、プラズマ均一性が200mmの直径の基板に渡って2%より十分に良く、または200mmの直径の基板に渡って1%より十分に良い位置にセットされることができる。別の形態として、例えば、基板ステージがプラズマ均一性が300mmの直径の基板に渡って2%より十分に良く、または300mmの直径の基板に渡って1%より十分に良い位置にセットされることができる。
さらにまた、パージガスは、材料を堆積させた後に導入されることができる。さらに、パージガスの有無にかかわらず、電磁気のパワーは、前記蒸着システムまたは基板のうちの少なくとも1つからの汚染物質を解放するために、蒸着システムに組み合わせられることができる。電磁気のパワーは、プラズマ、紫外光、またはレーザーの形で蒸着システムに組み合わせられることができる。
さらに図1を参照して、コントローラ170は、マイクロプロセッサ、メモリ、および、堆積システム101と通信し、堆積システム101への入力をアクティブにするのに、および同じく堆積システム101から出力をモニタするのに十分な制御電圧を生成することが可能なデジタルI/Oポートを含むことができる。さらに、コントローラ170は、処理チャンバ110、基板ステージ120、上部アセンブリ130、下部チャンバアセンブリ132、プロセス材料供給システム140、第1の電源150、基板温度コントロールシステム160、第1の真空ポンプ190、第1の真空バルブ194、第2の真空ポンプ192、第2の真空バルブ196、およびプロセスボリューム調整システム122と情報を交換することができる。例えば、メモリに格納されたプログラムは、エッチングプロセスまたは堆積プロセスを実行するためにプロセスレシピに係る堆積システム101の上述したコンポーネントへの入力をアクティブにするために利用されることができる。
コントローラ170は、上記で議論された材料堆積のプロセスを制御しモニタするために、マイクロプロセッサ、メモリ、および、堆積システム101(101’)と通信して、堆積システム101(101’)への入力をアクティブにするのに、同じく堆積システム101(101’)からの出力をモニタするに十分な制御電圧を生成することが可能なデジタルI/Oポートとを含むことができる。例えば、コントローラ170は、図6に関して上で記載されているステップを達成するように実行のためのプログラム命令を含むコンピュータ読み取り可能なメディアを含んでいることができる。さらに、コントローラ170は、処理チャンバ110、基板ステージ120、上部アセンブリ130、プロセス材料ガス供給システム140、電源150、基板温度コントローラ160、第1の真空排気システム190、および/または第2の真空排気システム192と組み合わせられることができ、および、情報を交換することができる。例えば、メモリに格納されたプログラムは、上記のプラズマ無し、またはプラズマ増強堆積プロセスのうちの少なくとも1つを実行するために、プロセスレシピに係る堆積システム101(101’)の上述したコンポーネントへの入力をアクティブにするために利用されることができる。
コントローラ170の1つの実施例は、オースティン、テキサスのデル社から入手可能な、610(登録商標)、デルプレシジョンワークステーションである。しかしながら、コントローラ170は、メモリに含まれる1つ以上の命令の1つ以上のシーケンスを実行しているプロセッサに応答して本発明の処理ステップに基づいてマイクロプロセッサの一部または全てを実行する汎用コンピューターシステムとして実行されることができる。このような命令は、別のコンピュータ読み取り可能なメディア(例えばハードディスクまたはリムーバブルメディアドライブ)から、コントローラメモリに読み込まれることができる。マルチプロセッシング装置の1つ以上のプロセッサは、また、主メモリに含まれる命令のシーケンスを実行するために、コントローラマイクロプロセッサとして使用されることができる。代わりの実施例では、配線による回路が、ソフトウェア命令の代わりにまたはそれと結合して用いられることができる。したがって、実施形態は、ハードウェア回路、および、ソフトウェアの何らかの特定の組合せに限定されない。
コントローラ170は、本発明の教示に係りプログラムされた命令を保持するために、およびデータ構造、表、レコード、若しくは本発明を実施するのに必要であり得る他のデータを包含するために、少なくとも1つのコンピュータ読み取り可能なメディア、またはメモリ、例えばコントローラメモリを有する。コンピュータ読み取り可能なメディアの実施例は、コンパクトディスク、ハードディスク、フロッピー(登録商標)ディスク、テープ、光磁気ディスク、PROMs(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、または、他のいかなる磁気媒体、コンパクトディスク(例えばCD―ROM)、または他のいかなる光学的メディア、パンチカード、紙テープまたは孔パターンを有する他の物理メディア、キャリアウェーブ(以下に記載する)、またはコンピュータが読むことができる他のいかなるメディアでもある。
コンピュータ読み取り可能なメディアのどれかひとつ、または組合せたものに保存されて、本発明は、コントローラ170を制御するための、本発明を実施するためのデバイスまたはデバイスを駆動するための、および/またはコントローラが人間のユーザと対話することを可能にするためのソフトウェアを含む。このようなソフトウェアは、デバイスドライバ、オペレーティングシステム、開発ツール、およびアプリケーションソフトを含むことができるが、これに限定されるものではない。このようなコンピュータ読み取り可能なメディアは、本発明を実施する際に実行されるプロセスの全てまたは部分(もしプロセスが分散さえるならば)を実行するための本発明のコンピュータプログラム製品を更に含む。
本発明のコンピューターコードデバイスは、スクリプト、解釈可能なプログラム、ダイナミックリンクライブラリ(DLL)、Java(登録商標)クラス、および、完成した実行可能プログラムを含むがこれに限らない何らかの解釈可能なまたは実行可能コード機構であることができる。さらに、本発明のプロセスの部分は、より十分な性能、信頼性、および/または費用に対して分散されることができる。
ここで使用する用語「コンピュータ読み取り可能なメディア」は、実行のためコントローラ170のプロセッサに対する命令を提供する際に関係する何らかのメディアを称する。コンピュータ読み取り可能なメディアは、多くの形態をとることができ、不揮発性のメディア、揮発性のメディア、および、伝送メディアを含み、しかし、それらに限定されるものではない。不揮発性のメディアは、例えば、光学的、磁気ディスク、および光磁気ディスク、例えばハードディスクまたはリムーバブルメディアドライブを含む。揮発性のメディアは、ダイナミックメモリ、例えば主メモリを含む。さらに、コンピュータ読み取り可能なメディアの多様な形態は、実行のためのコントローラのプロセッサに対する1つ以上の命令の1つ以上のシーケンスを実行することを含まれることができる。例えば、命令は、まず最初にリモートコンピュータの磁気ディスクに移動されることができる。リモートコンピュータは、遠隔でダイナミックメモリへ、本発明の全てまたは部分を実施するための命令をロードすることができ、および、コントローラ170にネットワーク上で命令を送ることができる。
コントローラ170は、堆積システム101(101’)に対して近くで位置づけられることができ、または、それは堆積システム101に対して遠く離れて位置づけられることができる。例えば、コントローラ170は、直接接続、イントラネット、インターネット、および、ワイヤレス接続のうちの少なくとも1つを用いて、データを堆積システム101と交換することができる。コントローラ170は、例えば、顧客サイト(すなわちデバイスメーカーなど)でイントラネットに接続させられることができ、または、それは、例えば、ベンダーサイト(すなわち装置製造業者)でイントラネットに接続させられることができる。加えて、例えば、コントローラ170は、インターネットに組み合わせられることができる。さらにまた、別のコンピュータ(すなわちコントローラ、サーバなど)は、例えば、直接接続、イントラネット、およびインターネットのうちの少なくとも1つを介してデータを交換するコントローラ170にアクセスできる。また、当業者によって理解されるように、コントローラ170は、ワイヤレス接続を介してデータを堆積システム101(101’)と交換することができる。
発明の特定の典型的な実施形態だけが上で詳述されたが、当業者は、発明の新規進歩の事項から逸脱することなく典型的な実施形態において多数の変更態様が可能であることが容易に理解することができる。
添付の図面において、上記詳細な説明を参照することでより十分に理解されるのと同様に、添付の図面ととともに考えられることによって、本発明のより完全な理解およびそれの多くの効果は容易に得られる。
本発明の1つの実施形態に係る堆積システムの概略図を記載する図である。 本発明の1つの実施形態に係る堆積システムの概略図を記載する図である。 サンプル移送が下部サンプルステージ位置で容易にされる本発明の1つの実施形態に係る図1Aの堆積システムの概略図を記載する図である。 サンプル移送が下部サンプルステージ位置で容易にされる本発明の1つの実施形態に係る図1Bの堆積システムの概略図を記載する図である。 本発明の一実施例に係るシール機構の概略図を記載する図である。 本発明の1つの実施形態に係る別のシール機構の概略図を記載する図である。 本発明の1つの実施形態に係る別のシール機構の概略図を記載する図である。 本発明の1つの実施形態に係る、別のシール機構の概略図を記載する図である。 本発明の1つの実施形態に係る、プロセスのプロセスフロー図を示す図である。
符号の説明
101…堆積システム、101’…堆積システム、110…処理チャンバ、120…基板ステージ、122…プロセスボリューム調整システム、125…基板、130…上部アセンブリ、132…下部アセンブリ、140…プロセス材料ガス供給システム、142…プレナム、144…注入プレート、146…オリフィス、150…電源、160…基板温度コントロールシステム、170…コントローラ、180…プロセスボリューム調整システム、180…処理空間、182…移送空間、190…真空ポンプ、191…注入口、192…真空ポンプ、194…バルブ、194…真空バルブ、196…真空バルブ、302…フランジ、304…延長、305…開口、306…封止、308…溝、310…下部プレート、314…封止、316…ガード、318…凹部、320…ベローズユニット、322…接点プレート、326…凹部、332…レセプタプレート、334…封止、336…凹部。

Claims (40)

  1. 基板に堆積物を形成するための、化学気相成長(CVD)または原子層堆積(ALD)を使用する堆積システムであって:
    材料堆積のための処理空間を有する第1のアセンブリと;
    前記第1のアセンブリに組み合わせられ、前記堆積システムとの間で前記基板の移送のための移送空間を有する第2のアセンブリと;
    前記第2のアセンブリに接続され、前記処理空間の第1のボリュームを形成するように第2のアセンブリから第1の距離だけ垂直に離隔された第1の堆積位置から前記処理空間のサイズを第2のボリュームに変化するように第2のアセンブリから第2の距離だけ垂直に離隔された第2の堆積位置までの間を前記基板を支持し移動するように構成された基板ステージと;
    前記処理空間内の前記第1の堆積位置と、前記第2の堆積位置との間の前記基板の移動の間のガス流れを妨げるように構成された封止を有するシーリングアセンブリと;を具備し、
    前記第1のアセンブリは、前記第1のアセンブリから前記第2のアセンブリの方へ延びている内側のガス伝導のチャネルを有する延長を備えている堆積システム。
  2. 前記シーリングアセンブリは、前記第1の堆積位置から、前記基板が移送空間に存在するように第2のアセンブリから第3の距離だけ垂直に離隔された第3の位置まで前記基板の移動の間、封止を分離するように構成されている請求項1の堆積システム。
  3. 前記封止は、前記移送空間から前記処理空間を真空アイソレートするように構成されている請求項1の堆積システム。
  4. 前記封止は、10−3Torr−l/s未満に前記処理空間から前記移送空間までのガスリークを減少するように構成されている請求項3の堆積システム。
  5. 前記封止は、10−4Torr−l/s未満に前記処理空間から前記移送空間までのガスリークを減少するように構成されている請求項3の堆積システム。
  6. 前記第1のアセンブリに組み合わせられ、プロセスの間、前記処理空間を排気するように構成された第1の圧力制御システムと;
    前記第2のアセンブリに組み合わせられ、前記移送空間を排気するように構成されている第2の圧力制御システムと;
    前記第1のアセンブリに接続し、前記材料堆積の間、前記処理空間にプロセスガス組成を導入するように構成されているガス注入システムと;
    前記基板ステージに組み合わせられ、前記基板の温度を制御するように構成された温度制御システムと;を更に具備する請求項1の堆積システム。
  7. 前記第1のアセンブリは、前記堆積システムの上部部分を備え、
    前記第2のアセンブリは、前記堆積システムの下部部分を備え、
    前記基板ステージは、前記基板を垂直方向に移動するように構成されている請求項1の堆積システム。
  8. 前記処理空間の前記プロセスガス組成からプラズマ形成するように、前記処理空間に結合され、前記プロセスガス組成にパワーを供給するように構成されている電源を更に具備する請求項の堆積システム。
  9. 前記電源は、0.1から100MHzまでの周波数でRFエネルギを出力するように構成されているRF電力電源であり;
    前記基板ステージは、前記RF電力電源に接続され、前記処理空間に前記RFエネルギを結合させるように構成されている電極を含んでいる請求項の堆積システム。
  10. 前記内側のガス伝導のチャネルは、前記基板ステージの近くの延長の第1の側部から、前記第1の側部の反対側の前記延長の第側部までガスコンダクタンスを提供する請求項1の堆積システム。
  11. 前記延長は、前記延長の前記第1の側部の方へと外側に突き出ているシールプレートを備えている請求項10の堆積システム。
  12. 前記基板ステージは、前記第1のアセンブリの方へ基板ステージ移動されるときに前記延長のシールプレートに、接触するように構成されているフランジを備えている請求項11の堆積システム。
  13. 前記フランジは、前記シールプレートに対して封止するように構成されている前記封止を含む請求項12の堆積システム。
  14. 前記封止は、Oリング、先細エラストマ、または螺旋形のスプリング封止のうちの少なくとも1つを備えている請求項13の堆積システム。
  15. 前記先細エラストマは、三角形成形加工されたエラストマを備えている請求項14の堆積システム。
  16. 前記延長は、前記基板ステージの移動の方向に圧縮するように構成されているベローズユニットを備えている請求項1の堆積システム。
  17. 前記延長は、前記処理空間から封止部材を保護するために構成されているガードを備えている請求項1の堆積システム。
  18. 前記延長の部材は、前記基板ステージの方へ延びている少なくとも1つの長手方向のプレートを含んでいるスライダユニットを備え
    前記スライダユニットは、前記基板ステージの前記フランジに設置されている前記長手方向のプレートを受け入れるように対応したレセプタプレートに、封止を介して摺動するように係合する請求項1の堆積システム。
  19. 前記基板ステージは、前記第1のアセンブリの方へ前記基板ステージから延びていて、前記長手方向のプレートを挟み込むように構成されている前記レセププレートを備えている請求項18の堆積システム。
  20. 前記封止の部材は、前記レセププレートまたは長手方向のプレートのうちの少なくとも1つに配置されている請求項19の堆積システム。
  21. 基板に堆積物を形成するための、化学気相成長(CVD)または原子層堆積(ALD)を使用する堆積システムであって:
    最も外側の壁と、材料堆積のための処理空間と、前記処理空間へのプロセスガスの導入のための注入プレートとを有する第1のアセンブリと;
    前記第1のアセンブリの最も外側の壁前記第2のアセンブリに接続するシールされた接合を介して前記第1のアセンブリに結合され、前記堆積システムとの間で前記基板の移送をするための移送空間を有する第2のアセンブリと;
    前記第2のアセンブリに接続され、前記処理空間のサイズを変化するように前記処理空間の第1の堆積位置から前記処理空間の第2の堆積位置までの間を前記基板を支持し移動するように構成された基板ステージと;
    前記処理空間内の前記第1の堆積位置と、前記第2の堆積位置との間の前記基板の移動の間のガス流れを妨げるように構成された封止を有するシーリングアセンブリと;を具備し、
    前記第1のアセンブリは、前記最も外側の壁の内側に配置され、および、前記最も外側の壁から離隔された延長を備え、前記延長は、前記第1のアセンブリから前記第2のアセンブリの方へ延びている内側のガス伝導のチャネルを有する堆積システム。
  22. 移送空間から分離される処理空間を有する蒸着システムの基板上に材料を堆積するための、化学気相成長(CVD)または原子層堆積(ALD)を使用する方法であって、
    移送空間から真空アイソレートされた前記処理空間に前記基板を配置することと;
    前記移送空間から真空アイソレーションを維持する間、前記処理空間の第1のボリュームを形成する第1の堆積位置または前記処理空間の第2のボリュームを形成する第2の堆積位置のいずれかで前記基板を処理することと;
    前記第1の堆積位置または前記第2の堆積位置のいずれかで前記基板上に材料を堆積させることとを具備する方法。
  23. 前記処理空間を100℃以上に維持することと、
    前記移送空間を100℃未満に維持することとを更に具備する請求項22の方法。
  24. 前記処理空間を50℃以上に維持することと、
    前記移送空間を50℃未満に維持することとを更に具備する請求項22の方法。
  25. 前記材料を堆積させることは、蒸着のための前記処理空間にプロセスガス組成を導入することを備えている請求項22の方法。
  26. 前記材料を堆積させることは、プラズマ増強蒸着のための前記処理空間にプロセスガス組成を導入することと、
    前記プロセスガス組成からプラズマを形成することとを備えている請求項22の方法。
  27. 前記材料を堆積させることは、タンタル膜、タンタル炭化物、タンタル窒化膜、またはタンタル炭窒化物膜のうちの少なくとも1つを堆積させることを備えている請求項22の方法。
  28. 前記材料を堆積させることは、金属、金属炭化物、金属酸化物、金属窒化、金属炭窒化物、若しくは金属シリサイド、またはこれらの膜のいずれかの組合せのうちの少なくとも1つを堆積させることを備えている請求項22の方法。
  29. 前記配置することは、プラズマ増強ALD(PEALD)プロセス、またはプラズマ増強CVD(PECVD)プロセスのうちの少なくとも1つを実行するように構成されているチャンバに前記基板を配置していることを備えている請求項22の方法。
  30. 前記材料を堆積させることは、前記ALDプロセスを使用して第1の膜を堆積させることと;
    前記PECVDまたは前記PEALDプロセスを使用して第2の膜を堆積させることとを備えている請求項29の方法。
  31. 前記材料を堆積させることは、前記CVDプロセスを使用して第1の膜を堆積させることと;
    前記PECVDまたは前記PEALDプロセスを使用して第2の膜を堆積させることとを備えている請求項29の方法。
  32. 前記材料を堆積させることは、
    前記ALDプロセスを使用して第1の膜を堆積させることと;
    前記CVDプロセスを使用して第2の膜を堆積させることとを備えている請求項29の方法。
  33. 前記材料を堆積させることは、前記処理空間のプロセスガスに0.1から100MHzまでの周波数でRFエネルギを印加することである請求項31の方法。
  34. 前記材料を堆積させた後にパージガスを導入することを更に具備する請求項31の方法。
  35. 堆積される材料の基板を横切る堆積の均一性を改良する位置に、前記処理空間内の前記基板を移動することを更に具備する請求項22の方法。
  36. 前記材料を堆積させることは、前記処理空間のプラズマ均一性が300mmの直径の基板を横切る膜厚の均一性が2%より小さくなるような位置に、前記基板を保持している基板ステージの位置をセットすることと;
    前記基板の上に材料堆積のためのプラズマを形成することとを備えている請求項22の方法。
  37. 前記セットすることは、前記基板ステージをプラズマ均一性が300mmの直径の基板を横切る膜厚の均一性が1%より小さくなるような位置にセットすることを備えている請求項36の方法。
  38. 前記基板を配置することは、前記処理空間から前記移送空間までのガスリークが10−3Torr−l/s未満を有する処理空間に前記基板を配置することを備えている請求項22の方法。
  39. 前記基板を配置することは、前記処理空間から前記移送空間までのガスリークが10−4Torr−l/s未満を有する処理空間に前記基板を配置することを備えている請求項22の方法。
  40. 基板処理システムプロセッサ上の実行のためのプログラム命令を含んでいるコンピュータ読み取り可能なメディアであって、
    請求項2239において詳述されるステップのいずれかを実行するように前記基板処理システムを起動するメディア。
JP2006311396A 2005-11-18 2006-11-17 熱およびプラズマ増強蒸着のための装置および操作方法 Active JP5209197B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/281,372 US20070116872A1 (en) 2005-11-18 2005-11-18 Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US11/281,372 2005-11-18

Publications (3)

Publication Number Publication Date
JP2007162131A JP2007162131A (ja) 2007-06-28
JP2007162131A5 JP2007162131A5 (ja) 2010-01-07
JP5209197B2 true JP5209197B2 (ja) 2013-06-12

Family

ID=38053862

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006311396A Active JP5209197B2 (ja) 2005-11-18 2006-11-17 熱およびプラズマ増強蒸着のための装置および操作方法

Country Status (3)

Country Link
US (2) US20070116872A1 (ja)
JP (1) JP5209197B2 (ja)
TW (1) TWI340992B (ja)

Families Citing this family (277)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
DE102007063363B4 (de) * 2007-05-21 2016-05-12 Centrotherm Photovoltaics Ag Vorrichtung zur Dotierung und Beschichtung von Halbleitermaterial bei niedrigem Druck
US8114484B2 (en) * 2007-07-19 2012-02-14 Applied Materials, Inc. Plasma enhanced chemical vapor deposition technology for large-size processing
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
GB2455993B (en) * 2007-12-28 2012-09-05 Hauzer Techno Coating Bv A corrosion resistant coated article
GB2455991B (en) * 2007-12-28 2010-12-01 Hauzer Techno Coating Bv A method of giving an article a coloured appearance and an article having a coloured appearance
WO2009135050A2 (en) * 2008-05-02 2009-11-05 Applied Materials, Inc. Process kit for rf physical vapor deposition
US8869741B2 (en) 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5560589B2 (ja) 2009-05-08 2014-07-30 東京エレクトロン株式会社 成膜方法及びプラズマ成膜装置
EP2484185A4 (en) * 2009-09-28 2014-07-23 Lam Res Corp SLING CONTAINMENT RING ARRANGEMENTS AND ASSOCIATED METHODS
US8906160B2 (en) * 2010-12-23 2014-12-09 Intermolecular, Inc. Vapor based processing system with purge mode
WO2012090421A1 (ja) * 2010-12-28 2012-07-05 キヤノンアネルバ株式会社 プラズマcvd装置
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
CN103635605B (zh) * 2011-04-07 2017-03-08 皮考逊公司 具有等离子体源的原子层沉积
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
DE102011113294A1 (de) * 2011-09-05 2013-03-07 Schmid Vacuum Technology Gmbh Vakuumbeschichtungsvorrichtung
JP5947023B2 (ja) * 2011-11-14 2016-07-06 東京エレクトロン株式会社 温度制御装置、プラズマ処理装置、処理装置及び温度制御方法
ES2543579T3 (es) 2012-02-15 2015-08-20 Ihi Hauzer Techno Coating B.V. Componentes de cojinete y cojinetes aislados frente a corriente
EP2628817B1 (en) 2012-02-15 2016-11-02 IHI Hauzer Techno Coating B.V. A coated article of martensitic steel and a method of forming a coated article of steel
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
KR102240762B1 (ko) * 2013-03-14 2021-04-14 어플라이드 머티어리얼스, 인코포레이티드 선택적으로 접지되고 그리고 이동 가능한 프로세스 키트 링을 사용하여 기판을 프로세싱하기 위한 방법 및 장치
US9472443B2 (en) * 2013-03-14 2016-10-18 Applied Materials, Inc. Selectively groundable cover ring for substrate process chambers
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
JP2014216647A (ja) * 2013-04-29 2014-11-17 エーエスエムアイピー ホールディング ビー.ブイ. 金属ドープされた抵抗切り替え層を有する抵抗変化型メモリを製造する方法
US9490149B2 (en) * 2013-07-03 2016-11-08 Lam Research Corporation Chemical deposition apparatus having conductance control
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
US9263350B2 (en) * 2014-06-03 2016-02-16 Lam Research Corporation Multi-station plasma reactor with RF balancing
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160376705A1 (en) * 2015-06-25 2016-12-29 The Regents Of The University Of California Method for optical coating of large scale substrates
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10337105B2 (en) * 2016-01-13 2019-07-02 Mks Instruments, Inc. Method and apparatus for valve deposition cleaning and prevention by plasma discharge
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9972635B2 (en) * 2016-02-29 2018-05-15 Toshiba Memory Corporation Semiconductor memory device and method for manufacturing same
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6935667B2 (ja) * 2016-10-07 2021-09-15 東京エレクトロン株式会社 成膜方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10170321B2 (en) * 2017-03-17 2019-01-01 Applied Materials, Inc. Aluminum content control of TiAIN films
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
CN107017193B (zh) * 2017-05-05 2019-08-20 华中科技大学 一种基片取放及转移装置
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US10867809B2 (en) * 2017-11-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Laser anneal process
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
US11434569B2 (en) * 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
CN111627806A (zh) 2019-02-28 2020-09-04 东京毅力科创株式会社 基片处理方法和基片处理装置
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
US11551912B2 (en) 2020-01-20 2023-01-10 Asm Ip Holding B.V. Method of forming thin film and method of modifying surface of thin film
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11427910B2 (en) * 2020-10-20 2022-08-30 Sky Tech Inc. Atomic layer deposition equipment capable of reducing precursor deposition and atomic layer deposition process method using the same
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2812776A (en) * 1953-01-08 1957-11-12 Hycon Mfg Company Valve
JPS6037129A (ja) * 1983-08-10 1985-02-26 Hitachi Ltd 半導体製造装置
US4747577A (en) * 1986-07-23 1988-05-31 The Boc Group, Inc. Gate valve with magnetic closure for use with vacuum equipment
US5240749A (en) * 1991-08-27 1993-08-31 University Of Central Florida Method for growing a diamond thin film on a substrate by plasma enhanced chemical vapor deposition
JP2642849B2 (ja) * 1993-08-24 1997-08-20 株式会社フロンテック 薄膜の製造方法および製造装置
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US6251759B1 (en) * 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
JP4856308B2 (ja) * 2000-12-27 2012-01-18 キヤノンアネルバ株式会社 基板処理装置及び経由チャンバー
US20020195201A1 (en) * 2001-06-25 2002-12-26 Emanuel Beer Apparatus and method for thermally isolating a heat chamber
US20030024900A1 (en) * 2001-07-24 2003-02-06 Tokyo Electron Limited Variable aspect ratio plasma source
EP1421606A4 (en) * 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US7524532B2 (en) * 2002-04-22 2009-04-28 Aixtron Ag Process for depositing thin layers on a substrate in a process chamber of adjustable height
DE10217806A1 (de) * 2002-04-22 2003-10-30 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten auf einem Substrat in einer höherverstellbaren Prozesskammer
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
JP2004014952A (ja) * 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
US7097886B2 (en) * 2002-12-13 2006-08-29 Applied Materials, Inc. Deposition process for high aspect ratio trenches
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
CN101894778A (zh) * 2003-08-29 2010-11-24 交叉自动控制公司 用于半导体处理的方法和装置
US7700155B1 (en) * 2004-04-08 2010-04-20 Novellus Systems, Inc. Method and apparatus for modulation of precursor exposure during a pulsed deposition process
US20060042752A1 (en) * 2004-08-30 2006-03-02 Rueger Neal R Plasma processing apparatuses and methods

Also Published As

Publication number Publication date
TWI340992B (en) 2011-04-21
US20120315404A1 (en) 2012-12-13
JP2007162131A (ja) 2007-06-28
US20070116872A1 (en) 2007-05-24
TW200733199A (en) 2007-09-01

Similar Documents

Publication Publication Date Title
JP5209197B2 (ja) 熱およびプラズマ増強蒸着のための装置および操作方法
JP5209198B2 (ja) 熱およびプラズマ増強蒸着のための装置および操作方法
JP5242066B2 (ja) 堆積システムのパーティクルコンタミネーションを減少するように構成された排気装置
JP5080108B2 (ja) 処理システムのためのシーリングのデバイスおよび方法
US7670432B2 (en) Exhaust system for a vacuum processing system
JP5101868B2 (ja) シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム
US8454749B2 (en) Method and system for sealing a first assembly to a second assembly of a processing system
KR101351657B1 (ko) 박막 형성 방법, 컴퓨터 판독가능 매체 및 박막 기상 증착 시스템
US7422636B2 (en) Plasma enhanced atomic layer deposition system having reduced contamination
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US7651568B2 (en) Plasma enhanced atomic layer deposition system
US7338901B2 (en) Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US20060213437A1 (en) Plasma enhanced atomic layer deposition system
US20070231487A1 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
CN101205605B (zh) 用于热增强和等离子体增强气相沉积的装置及操作方法
KR101281863B1 (ko) 증착 시스템

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20091117

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091117

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20111018

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20120529

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120904

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121105

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130122

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130221

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20160301

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Ref document number: 5209197

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250