CN101894778A - 用于半导体处理的方法和装置 - Google Patents

用于半导体处理的方法和装置 Download PDF

Info

Publication number
CN101894778A
CN101894778A CN201010202694.9A CN201010202694A CN101894778A CN 101894778 A CN101894778 A CN 101894778A CN 201010202694 A CN201010202694 A CN 201010202694A CN 101894778 A CN101894778 A CN 101894778A
Authority
CN
China
Prior art keywords
vacuum tank
wafer
burst
process chamber
vacuum
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN201010202694.9A
Other languages
English (en)
Inventor
J·B·普赖斯
J·凯勒
L·达尔马加
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASYST TECHNOLOGIES
Original Assignee
ASYST TECHNOLOGIES
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASYST TECHNOLOGIES filed Critical ASYST TECHNOLOGIES
Publication of CN101894778A publication Critical patent/CN101894778A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67178Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers vertical arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S414/00Material or article handling
    • Y10S414/135Associated with semiconductor wafer handling
    • Y10S414/139Associated with semiconductor wafer handling including wafer charging or discharging means for vacuum chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Robotics (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

本申请公开了一种用于晶片传输的机构和方法;放置晶片到所述多个成组设备中的第一成组设备的第一真空容器中,所述第一真空容器连接到第一处理室和工厂连接器;将所述晶片从所述第一成组设备的所述第一真空容器传输到所述多个成组设备的第二成组设备的第二真空容器,利用垂直传输机构横过垂直定向的真空容器;其中,所述第二真空容器连接到第二处理室,所述第二成组设备沿所述垂直定向的真空容器在所述第一成组设备之上或之下设置,并且其中,所述第一和第二成组设备沿所述垂直定向的真空容器垂直地层叠。

Description

用于半导体处理的方法和装置
本申请是申请日为2004年08月27日、国际申请号为PCT/US2004/028212、国家申请号为200480024808.8、发明名称相同的国际申请的分案申请。
发明领域
本发明一般涉及半导体制造装置,尤其涉及能够利用不同的处理环境如成组设备在真空下进行连续处理的真空装置。
发明背景
半导体衬底处理典型地是通过使衬底经过多个连续的处理以在衬底上形成器件、导体和绝缘体来执行的。图1示出了现有技术中用于执行连续处理的半导体处理系统100。这些处理通常在处理室中进行,该处理室配置成执行制造处理的单一步骤。为了有效地完成整个连续的处理步骤,通常将多个处理室108与中央传输室104连接,该传输室容纳有一个或多个自动机械112,以便在各处理室108之间传输衬底124。一种已知的具有这种结构的半导体处理平台通常是成组设备,其实例是CENTURA.RTM和ENDURA.RTM处理平台系列,该处理平台可从加利福尼亚州Santa Clara的Applied Materials.Inc公司获得。
一般地,成组设备100包括其中布置有一个或多个机械手112的中央传输室104。该传输室104通常由一个或多个处理室108、至少一个装载锁定室106围绕。处理室108通常用于处理衬底124,例如执行各种处理步骤如蚀刻、物理气相沉积、化学气相沉积等等。处理过的和未处理的衬底124容纳在衬底存储盒130中,该存储盒布置在与装载锁定室106连接的工厂连接器102中。
装载锁定室106通过隔离阀116与工厂连接器102和传输室104隔开。衬底124一次一个地从衬底存储盒130穿过装载锁定室106进入传输室104。在衬底从存储盒130取出之后首先定位在装载锁定室106中。然后对装载锁定室106进行密封和抽气,以符合衬底传输室104的操作压力。然后打开装载锁定室106和传输室104之间的隔离阀116,同时允许衬底传输机械手112拾取放置在工厂连接器102中的衬底124。通过这种方式,可以将衬底124传输到传输室104和从传输室中移出,而不必在每个衬底124通过装载锁定室106或处理室108之后反复地重新形成传输室真空水平。尽管成组设备100包括六个处理室108,但是可以使用任何数量的处理室。
发明概述
本发明公开了一种用于半导体处理的方法和装置,在一个实施例中,在成组设备内传输晶片的方法包括将晶片放置在真空容器的第一部分中,该真空容器与处理室和工厂连接器连接。然后利用垂直传输机构将晶片传输到真空容器的第二部分,其中该第二部分在第一部分上面或下面。
现在参考附图特别描述和在权利要求书中指出本发明上面的和其他优选的特征,包括各种实施的新颖细节和元件的组合。应该理解,示出的这些体现本发明的特殊方法和机构仅仅是说明性的,并不作为本发明的限制。正如本领域技术人员所理解的,在不脱离本发明的范围的条件下,可以在各种以及多个实施例中使用本发明的原理和特征。
附图简述
作为本说明书的一部分被包括在内的附图示出了本发明的优选实施例和上面给出的一般性描述以及下面给出的优选实施例的详细描述,它们都用于解释和教导本发明的原理。
图1示出了现有技术中用于执行连续处理的半导体处理系统100。
图2示出了根据本发明的一个实施例的示例性垂直传输成组设备。
图3示出了根据本发明的一个实施例的示例性水平传输成组设备。
图4示出了根据本发明的一个实施例的示例性双真空容器成组设备。
图5示出了根据本发明的另一个实施例的示例性水平成组设备。
图6示出了根据本发明的一个实施例的示例性双线性驱动器。
图7示出了根据本发明的一个实施例处于伸出位置的示例性双线性驱动器。
图8示出了根据本发明的一个实施例的示例性双线性驱动器机构。
图9示出了根据本发明的另一个实施例的示例性双线性驱动器机构。
图10示出了根据本发明的一个实施例具有旋转机构的示例性线性驱动器。
图11示出了根据本发明的另一个实施例具有旋转机构的示例性线性驱动器。
图12示出了根据本发明的一个实施例传输晶片的示例性方法。
图13示出了表示一体化的多处理器的计算机系统,其中实施了多个本发明的元件。
详细描述
公开了一种用于半导体处理的方法和装置,在一个实施例中,在成组设备内传输晶片的方法包括将晶片放置在真空容器的第一部分中,该真空容器与处理室和工厂连接器连接。然后利用垂直传输机构将晶片传输到真空容器的第二部分,其中该第二部分在第一部分上面或下面。根据本发明的另一个实施例,与上述在平台中央使用的机械手机构不同,本装置允许分布式的线性晶片驱动器结构。下面提供和描述的该线性结构不需要径向的主要结构。
在下面的描述中,为了说明的目的,提出的特定术语用于提供对本发明的深入理解。然而,对本领域技术人员来说显而易见的是,为了实施本发明可以不需要这些特定细节。
下面提出的部分详细描述是关于计算机存储器内数据位的操作的算法和符号表示。这些算法说明和表示是数据处理技术中的本领域技术人员所使用的意思,从而最有效地将他们工作的实质传达给本领域其他技术人员。这里的算法通常设想成是导致期望结果的自给顺序的步骤。这些步骤需要对物理量进行物理运算。通常但不是必要地,这些物理量的形式为能够存储、传输、组合、比较或处理的电信号或磁信号。已经证明方便的是,有时主要是为了通用的目的,将这些信号表示为数位、数值、元素、符号、特征、关系、数字等等。
然而应该记住,所有这些和类似的术语都与适当的物理量相联,并且仅仅是应用于这些物理量的方便标记。除非特别说明,否则从下面的论述中可以明显看出的是,应该理解在整个说明书中,使用术语如“处理”或“估计”或“计算”或“确定”或“显示”等等的描述都表示计算机系统或类似的电子计算设备、传输或显示设备的动作和处理,上述计算设备可将在计算机系统的寄存器和存储器中表示为物理(电子)量的数据处理和转换成在计算机系统存储器或寄存器或其他类似的信息存储器中同样表示为物理量的其他数据。
本发明还涉及执行此处操作的装置。该装置可以为所需的目的特别构造,或者它可以包括一般目的的计算机,该计算机由存储在计算机中的程序选择性地激活或重新配置。这种计算机程序可以存储在计算机可读存储介质中,例如但不限于任何类型的盘,包括软盘、光盘、CD-ROM和磁性光盘、只读存储器(ROM)、随机存取存储器(RAM)、EPROM、EEPROM、磁卡或光学卡,或者任何适于存储电子指令的介质,每种介质都与计算机系统总线连接。
这里介绍的运算法则和画面在本质上与任何特殊计算机或其他装置无关。根据这里的教导可以使用各种一般目的具有程序的系统,或者方便的是构造更加专用的系统来执行所需的方法步骤。下面的描述将提供这些系统所需的结构。此外,并不结合任何特定的程序语言来描述本发明。应该理解,可以使用各种程序语言实施这里描述的本发明的教导。
图2示出了根据本发明的一个实施例的示例性垂直传输成组设备。成组设备200具有在垂直定向的真空容器3内的中央垂直传输驱动器2。根据本发明的一个实施例,真空容器3由抛光的不锈钢(或其他相似类型的金属或合金)管道段构成,该管道段具有管凸缘210。管道段10可以各种方式磨光和抛光,但是作为用于晶片的高真空移动的容器,管道段10遵循在半导体制造工厂中使用的规定。每个管道段末端处的凸缘210具有直径和适合于应用的孔图案。凸缘210可被磨光和开槽,用于O形密封圈和其他类似的高真空密封件。
成组设备200具有由水平传输组件11和12隔开的三个段10。在下端,泵送模块4穿过闸式阀9对段10、11、12构成的垂直组件密封。该泵送模块4可提供大致并且高的真空泵送作用,以保持真空容器3内的真空。附加地,泵送模块4可为处理室6提供真空条件。用于垂直传输机构的垂直支撑驱动器2(在腔室10、11和12内未示出)位于管道段10和水平传输模块11和12构成的垂直组件的顶端。该垂直传输机构和其驱动器2可以是任何类型的升降机,例如由Semiconductor Engineering Group.Inc公司制造的升降助力器组件。
还包括在成组设备200中的是水平传输组件12,其用作处理过程中晶片的装载和卸载站。水平传输组件12还用作具有升降机构(未示出)的装载锁定室。水平传输组件12通过延伸部13安装在闸式阀5上。该延伸部13用作传输组件的外室,该外室基本上是不锈钢管的带凸缘段。线性晶片驱动器传输机构1通过另一个阀5安装在第二延伸部13上。传输机构穿过组件12将晶片传输到垂直传输机构,并将处理过的晶片从垂直传输部传输到衬底存储盒8。该存储盒可以是工厂连接器的一部分,如工厂连接器102。
水平传输组件11通过阀5和延伸部13与线性晶片驱动器传输机构1连接。处理室6通过阀5和延伸部13与水平传输组件11隔开。根据本发明的一个实施例,处理室6可以是成组设备100的处理室例如室108。附加地,处理室6可以具有晶片传送带或其他传输机构,使得可以同时处理多个晶片。
尽管每个组件11和12仅示出了一个驱动机构1和室6(盒8),但是也可以使用附加的驱动机构1。线性驱动器1和水平组件11的组合消除了使用机械手将衬底传输到处理室的需要。附加地,成组设备200可从中央传输室例如真空容器3内移去晶片传输机构。这样,线性驱动器1在真空容器3的外部,并操作成在处理室6和真空容器3之间移动衬底。
图3示出了根据本发明的一个实施例的示例性水平传输成组设备300。水平成组设备300具有三个分离的处理室306和三个穿过闸式阀305协同操作的线性传输驱动器301。处理室306与真空室303连接,其中真空室303可以是垂直传输成组设备200的组件11。重要的是应该注意,尽管在水平成组设备300中示出了一层室,但是也可以存在沿垂直成组设备的真空室(如沿垂直成组设备200的真空室3)垂直层叠的多个层。例如,水平传输成组设备300可以作为组件11连接,同时水平传输成组设备300的部件的附加层安装在组件11的上面或下面。
附加地,可以用工厂连接器例如工厂连接器102替代任何一个处理室306。水平成组设备300包括线性传输驱动器301,该传输驱动器可在处理室306和真空容器303之间传输衬底晶片。线性传输驱动器301包括延伸穿过闸式阀305、延伸部313、真空容器303、第二延伸部313、第二闸式阀305到达处理室306的叶片(未示出),其中线性传输驱动器301可放置或移去衬底晶片。下面将更加详细地描述叶片380。
根据本发明的一个实施例,水平成组设备300还包括将衬底传输到垂直传输成组设备200的另一个层面(组件)的升降机构390。例如,新的晶片可以从存储盒8取出,从组件12垂直传输到组件11。在一个实施例中,图2的组件11相应于图3的真空容器303,可以从该真空容器将新的晶片分配到任一处理室306。
线性驱动器301和真空容器303的组合消除了使用机械手将衬底传输到处理室306中的需要。附加地,成组设备300可从中央传输室(如真空容器303)移去晶片传输机构。这样,线性驱动器301在真空容器303的外部,并操作成在处理室306和真空容器303之间移动衬底。在其他实施例中,根据处理的需要可以围绕真空容器303使用任何数量的处理室306。
图4示出了根据本发明的一个实施例的示例性双真空容器成组设备400。该双真空容器成组设备400具有两个在各真空容器403内的垂直传输机构(未示出)。每个垂直传输机构由垂直传输驱动器例如垂直传输驱动器402和垂直传输驱动器420驱动。真空容器403以双塔的布置的方式连接,使得工厂连接器102内的单个存储盒8可以用于两个隔开的垂直传输部403,该垂直传输部可支撑作为水平组件411嵌入的一个或多个水平成组设备300。注意,该双真空容器成组设备400没有示出与水平组件411连接的任何处理室。
线性驱动器401可以在两个真空容器403之间传输一个或多个晶片。例如,用线性驱动器401移去腔室422内的晶片,并使其通过延伸部413和闸式阀405传输到腔室412,其中垂直传输驱动器402将晶片提升到水平组件411中用于处理。在另一个实施例中,可以使用附加的线性驱动器401、闸式阀405和延伸部413将附加的真空容器403与成组设备400互相连接。
图5示出了根据本发明的一个实施例的示例性水平成组设备500。水平成组设备500包括三个处理室506,尽管可以使用附加的(或较少的)处理室。真空容器503与工厂连接器502连接。连接在每个处理室506和真空容器503之间的是双线性驱动器501。根据一个实施例,每个双线性驱动器501穿过延伸部和闸式阀(未示出)与处理室506和真空容器503连接。
如图5所示,双线性驱动器501布置在真空容器503和处理室506之间。这种布置在方向上与水平成组设备300相反,水平成组设备300的处理室把线性驱动器与真空容器隔开。
双线性驱动器501和真空容器503的组合消除了使用机械手将衬底传输到处理室506中的需要。附加地,成组设备500在中央传输室(如真空容器303)中移去晶片传输机构。这样,双线性驱动器501在真空容器503的外部,并操作成在处理室506和真空容器503之间移动衬底。下面将对双线性驱动器501的操作进行更详细的描述。
图6示出了根据本发明的一个实施例的示例性双线性驱动器601。该双线性驱动器601操作成在真空室和处理室(均未示出)之间传输两个晶片660。晶片660经闸式阀605进入和移出。附加地,晶片660位于叶片661上。除了从容器670中延伸到处理室和真空室,叶片661和晶片660可以在容器670中旋转180度。下面将描述叶片661和晶片660的旋转。
可以旋转叶片661和晶片660以允许新的晶片被放置在处理室中,同时处理过的晶片(从同一个处理室中移出)返回到真空容器。双线性驱动器601可使单一的晶片传输机构的处理量加倍。示出的双线性驱动器601处于原位,其中两个晶片660和两个叶片661完全包含在容器670中。
图7示出了根据本发明的一个实施例处于伸出位置的示例性双线性驱动器701。该双线性驱动器701包括具有两个闸式阀705的容器770。双线性驱动器701具有两个伸出的叶片761,使得晶片760已穿过闸式阀705处在容器770的外部。然后将晶片760放置在处理室或真空室中(或者从处理室或真空室移出)。在可替换实施例中,可以在容器770中保持一个叶片和晶片,而伸出第二叶片和晶片。
图8示出了根据本发明的一个实施例的示例性双线性驱动机构800。该驱动机构800利用支撑晶片860的叶片861将两个晶片860传输到容器(未示出)中和从容器中移出。叶片861通过滚轮862沿轨道880滑动。根据一个实施例,每个叶片可使用三个滚轮862,尽管可以使用任何数量。根据一个实施例,轨道880成形为与滚轮862中类似于滑轮的凹槽配合。在可替换实施例中,可以使用滚珠轴承使叶片861在轨道880中滑动。
使用电动机870结合滑轮871、传送带872和连接片863可以移动叶片861。滑轮871沿中央轨道布置,使得传送带872可以在轨道880的整个长度上在其间伸展。电动机870可使滑轮871旋转,导致传送带872沿中央轨道移动。每个叶片861通过连接片863(未示出)与传送带872连接。这样,随着传送带872的移动,叶片861将晶片860运输到容器中或从容器中移出。电动机870使滑轮871旋转的方向与叶片861的运动相反。
根据一个实施例,轨道由不锈钢制成。根据一个实施例,滑轮由不锈钢制成,尽管同样可以使用其他材料,包括陶瓷、碳纤维、铝和类似材料。
根据另一个实施例,双线性驱动机构800可修改为单一的线性驱动机构,例如线性驱动器201、301和401。仅使用一个叶片861和两个轨道880,并且不提供旋转机构。类似地,在另一个实施例中,如果期望单一的叶片线性驱动器作为双线性驱动器501的替换物,可以使用所描述的能够旋转180度的单一叶片。在该实例中,晶片可从处理室中移出,并在线性驱动器的容器内旋转,然后被放置在真空容器中。接着利用相反的同一过程将新的晶片从真空容器放置到处理室中。
图9示出了根据本发明的一个实施例的示例性双线性驱动机构900。该驱动机构900利用支撑晶片960的叶片961将晶片960传输到容器(未示出)中和从容器中移出。叶片961通过滚动导向件990沿轨道980滑动。根据一个实施例,每个叶片可使用一个滚动导向件990,尽管可以使用任何数量。轨道980成形为与滚动导向件990中的凹槽配合。
利用小型压电电动机(未示出)结合滚动导向件990和陶瓷传送带981可以移动叶片961。该电动机固定在紧靠陶瓷传送带981的滚动导向件990一侧。当通电时,电动机使滚动导向件990沿陶瓷传送带981移动,并在轨道980上面滑动。电动机的方向与叶片861的运动方向相反。
根据一个实施例,轨道980由不锈钢制成,但是还可以由铝或其他类似材料制成。轨道980可以是例如由日本的IKO国际公司制造的轨道。滚动导向件990可以是固体润滑的线性运动滚动导向件,例如由日本的IKO国际公司制造的轨道导向件。根据一个实施例,电动机是压电电动机,例如由以色列的Nanomotion有限公司制造的HR系列固态电动机。
图10示出了根据本发明的一个实施例具有旋转机构的示例性线性驱动器1000。该线性驱动器1000包括由O形环1030密封的上部容器1010和下部容器1050。该上部容器1010和下部容器1050都可以由铝、不锈钢、碳纤维或类似材料制成。O形环1030可以对线性驱动器1000密封,以在其中形成真空条件。机构1020是上面描述的任何一种线性驱动机构,包括轨道、叶片和其他支撑结构。
机构1020安装在背衬板1043上。在可替换实施例中,可以不使用背衬板1043。背衬板1043和机构1020一起在底部容器1050的轴承1090上以圆形方式旋转。根据一个实施例,该轴承1090位于圆形轨道内,该轨道是在底部容器1050中开的槽。连接在背衬板的底侧的是被一个或多个永久磁铁1041围绕的心轴1040。这样目前所描述的元件都存在于密封环境的真空中。
利用底部容器1050外部的一个或多个环形磁铁1060可以使心轴1040、背衬板1043和机构1020旋转。该环形磁铁1060通过传送带1080与电动机1070连接。通过电动机1070使传送带1080转动来移动环形磁铁1060,最终使线性驱动器1000中的机构1020旋转。
图11示出了根据本发明的一个实施例具有旋转机构的示例性线性驱动器1100。该线性驱动器1100包括由O形环1130密封的上部容器1110和下部容器1151。该上部容器1110和下部容器1151都可以由铝、不锈钢、碳纤维或类似材料制成。O形环1130可以对线性驱动器1100密封,以在其中形成真空条件。机构1120是上面描述的任何一种线性驱动机构,包括轨道、叶片和其他支撑结构。
根据一个实施例,机构1120安装在背衬板1143上。在可替换实施例中,可以不使用背衬板1143。背衬板1143和机构1120一起底部容器1150的轴承1190上以圆形方式旋转。根据一个实施例,该轴承1190位于圆形轨道内,该轨道是在底部容器1151中开的槽。根据一个实施例,连接在背衬板1143的底侧的是圆形导向环1172,其由陶瓷制成。电动机1171可以是压电电动机,例如由以色列的Nanomotion有限公司制造的HR系列固态电动机。电动机1171和导向环1172可以胶粘在其各自的位置中。这样目前所描述的元件都存在于密封环境的真空中。当给电动机1171通电时,使背衬板1143和机构1120旋转,同时使部分电动机1171接触和移动导向环1172。
图12示出了根据本发明的一个实施例传输晶片的示例性方法。将晶片(如晶片660)放置在真空容器的第一部分(如真空容器3的组件12)中。(方框1210)线性晶片驱动器1将晶片物理地移动到真空容器3中和从真空容器3中移出。垂直传输机构和驱动器2将晶片传输到真空容器的第二部分(如组件11)中。(方框1220)然后利用另一个线性晶片驱动器1从真空容器3移出晶片,并将其传输到处理室6中。(方框1230)
成组设备例如上面描述的那些成组设备由其中包括软件的PC型计算机运动控制系统进行控制。在计算机上运行的软件可控制晶片在腔室和容器之间的运动。图13示出了表示集成的多处理器的计算机系统1000,其中实施了多个本发明的元素。该系统1300可以表示用于控制上面描述的成组设备的计算机,如图2的计算机7。
计算机系统1300的一个实施例包括用于传送信息的系统总线1320和与总线1320连接用于处理信息的处理器1310。计算机系统1300还包括随机存取存储器(RAM)或其他动态存储设备1325(这里称为主存储器),它们与总线1320连接用于存储信息和处理器1310所执行的指令。主存储器1325还可以在处理器1310执行指令期间用于存储临时变量或其他中间信息。计算机系统1300还包括只读存储器(ROM)和/或其他静态存储设备1326,它们与总线1320连接用于存储静态信息和处理器1310使用的指令。
数据存储设备1327例如磁盘或光盘和其相应的驱动器还可以与计算机系统1300连接,用于存储信息和指令。计算机系统1300还可以通过I/O接口1330与第二I/O总线1350连接。多个I/O设备可以与I/O总线1350连接,包括显示设备1343、输入设备(例如字母数字输入设备1342和/或光标控制设备1341)。例如,可以在显示设备1343上将与网页和交易有关的信息提供给用户。
通信设备1340用于通过网络访问其他计算机(服务器或客户机)。通信设备1340可以包括调制解调器、网络接口卡或其他熟知的接口设备,例如那些用于连接到以太网、令牌网或其他网络的设备。
这里描述的设备可以使用简单的、通常不用定制的线性晶片移动机构和运动控制软件。本成组设备具有许多应用,例如在存储器片和微处理器制造中使用的所有薄膜淀积、热处理和蚀刻处理。
公开了一种用于半导体处理的方法和装置。尽管已经就特定实例和子系统描述了本发明,但是对本领域技术人员来说显而易见的是,本发明不限于这些特定实例或子系统,而是可扩展到其他相同的实施例。本发明包括如后附的权利要求书中所规定的所有这些其他实施例。

Claims (13)

1.一种在多个成组设备内传输晶片的方法,包括:
放置晶片到所述多个成组设备中的第一成组设备的第一真空容器中,所述第一真空容器连接到第一处理室和工厂连接器;
利用垂直传输机构穿过垂直定向的真空容器将所述晶片从所述第一成组设备的所述第一真空容器传输到所述多个成组设备的第二成组设备的第二真空容器;
其中,所述第二真空容器连接到第二处理室,所述第二成组设备沿所述垂直定向的真空容器在所述第一成组设备之上或之下设置,并且
其中,所述第一和第二成组设备沿所述垂直定向的真空容器垂直地层叠。
2.按照权利要求1的方法,还包括:
利用线性晶片驱动器将晶片移入和移出所述第一真空容器,其中,所述线性晶片驱动器在所述第一真空容器的外部。
3.按照权利要求2的方法,还包括:
通过计算机移动控制系统控制所述线性晶片驱动器和所述垂直传输机构。
4.按照权利要求3的方法,其中,将晶片移入和移出所述第一真空容器包括移动晶片通过闸式阀,所述闸式阀连接到所述第一真空容器。
5.按照权利要求3的方法,其中,将晶片移入和移出所述第一真空容器包括在所述第一真空容器与所述第一处理室之间移动晶片。
6.按照权利要求5的方法,其中,所述线性晶片驱动器在所述第一真空容器与所述第一处理室之间同时地移动第二晶片。
7.按照权利要求3的方法,其中,将晶片移入和移出所述第一真空容器包括在所述工厂连接器与第一真空容器之间移动晶片。
8.按照权利要求3的方法,其中,将晶片移入和移出所述第一真空容器包括移动晶片通过闸式阀,所述闸式阀连接到所述第一处理室。
9.按照权利要求4的方法,其中,将晶片移入和移出所述第一真空容器包括移动晶片通过具有两端的延伸部,所述延伸部一端连接到所述闸式阀并且另一端连接到所述第一真空容器。
10.按照权利要求8的方法,其中,将晶片移入和移出所述第一真空容器包括移动晶片通过具有两端的延伸部,所述延伸部一端连接到所述闸式阀并且另一端连接到所述第一真空容器。
11.按照权利要求1的方法,其中,一泵送模块为所述第一真空容器和所述第二真空容器保持真空条件。
12.按照权利要求1的方法,其中,所述第一真空容器是装载锁定室。
13.按照权利要求1的方法,其中,所述第二真空容器是装载锁定室。
CN201010202694.9A 2003-08-29 2004-08-27 用于半导体处理的方法和装置 Pending CN101894778A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US49647903P 2003-08-29 2003-08-29
US60/496479 2003-08-29

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN200480024808.8A Division CN101094933A (zh) 2003-08-29 2004-08-27 用于半导体处理的方法和装置

Publications (1)

Publication Number Publication Date
CN101894778A true CN101894778A (zh) 2010-11-24

Family

ID=34272490

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201010202694.9A Pending CN101894778A (zh) 2003-08-29 2004-08-27 用于半导体处理的方法和装置
CN200480024808.8A Pending CN101094933A (zh) 2003-08-29 2004-08-27 用于半导体处理的方法和装置
CN201010202703.4A Active CN101894779B (zh) 2003-08-29 2004-08-27 用于半导体处理的方法和装置

Family Applications After (2)

Application Number Title Priority Date Filing Date
CN200480024808.8A Pending CN101094933A (zh) 2003-08-29 2004-08-27 用于半导体处理的方法和装置
CN201010202703.4A Active CN101894779B (zh) 2003-08-29 2004-08-27 用于半导体处理的方法和装置

Country Status (4)

Country Link
US (4) US20050194096A1 (zh)
CN (3) CN101894778A (zh)
TW (1) TW200522136A (zh)
WO (1) WO2005022602A2 (zh)

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6918731B2 (en) 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
US20050194096A1 (en) 2003-08-29 2005-09-08 Crossing Automation, Inc. Method and apparatus for semiconductor processing
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US20050113976A1 (en) 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US20070269297A1 (en) 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070116872A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
CN100499059C (zh) * 2006-08-22 2009-06-10 资腾科技股份有限公司 水平手动式晶舟转换器防护装置
US10163667B2 (en) * 2007-03-22 2018-12-25 Brooks Automation, Inc. Linear wafer drive for handling wafers during semiconductor fabrication
US20110248738A1 (en) * 2010-04-12 2011-10-13 Sze Chak Tong Testing apparatus for electronic devices
KR20140053625A (ko) * 2012-10-26 2014-05-08 삼성디스플레이 주식회사 유기물 증착 장치
JP6086254B2 (ja) * 2014-09-19 2017-03-01 日新イオン機器株式会社 基板処理装置
CN106292194B (zh) * 2015-05-24 2018-03-30 上海微电子装备(集团)股份有限公司 硅片传输系统
CN107644832B (zh) * 2016-07-20 2023-09-29 朗姆研究公司 用于系统维护期间储存和组织mca特征和晶片传送销的设计
WO2018075262A1 (en) * 2016-10-18 2018-04-26 Mattson Technology, Inc. Systems and methods for workpiece processing
JP2022520634A (ja) * 2019-02-14 2022-03-31 パーシモン テクノロジーズ コーポレイション モジュール式材料取扱いロボットプラットフォーム

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3968885A (en) * 1973-06-29 1976-07-13 International Business Machines Corporation Method and apparatus for handling workpieces
US4030622A (en) * 1975-05-23 1977-06-21 Pass-Port Systems, Inc. Wafer transport system
US4311427A (en) 1979-12-21 1982-01-19 Varian Associates, Inc. Wafer transfer system
JPS5950538A (ja) * 1982-09-17 1984-03-23 Hitachi Ltd ウエハ搬送装置
US4611966A (en) * 1984-05-30 1986-09-16 Johnson Lester R Apparatus for transferring semiconductor wafers
EP0217616A3 (en) 1985-09-23 1989-01-25 Vg Instruments Group Limited Substrate processing apparatus
US4886412A (en) * 1986-10-28 1989-12-12 Tetron, Inc. Method and system for loading wafers
US4951601A (en) * 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
US4763602A (en) * 1987-02-25 1988-08-16 Glasstech Solar, Inc. Thin film deposition apparatus including a vacuum transport mechanism
US5217340A (en) * 1989-01-28 1993-06-08 Kokusai Electric Co., Ltd. Wafer transfer mechanism in vertical CVD diffusion apparatus
US5046909A (en) * 1989-06-29 1991-09-10 Applied Materials, Inc. Method and apparatus for handling semiconductor wafers
US5000682A (en) * 1990-01-22 1991-03-19 Semitherm Vertical thermal processor for semiconductor wafers
US5135349A (en) * 1990-05-17 1992-08-04 Cybeq Systems, Inc. Robotic handling system
US5067218A (en) * 1990-05-21 1991-11-26 Motorola, Inc. Vacuum wafer transport and processing system and method using a plurality of wafer transport arms
US5236295A (en) * 1990-06-15 1993-08-17 Tokyo Electron Sagami Limited Arm apparatus for conveying semiconductor wafer and processing system using same
US5275521A (en) * 1991-07-03 1994-01-04 Tokyo Electron Sagami Limited Wafer transfer device
US5317778A (en) * 1991-07-31 1994-06-07 Shin-Etsu Handotai Co., Ltd. Automatic cleaning apparatus for wafers
SG47541A1 (en) * 1992-06-26 1998-04-17 Materials Research Corp Transport system for wafer processing line
JPH06104326A (ja) * 1992-09-18 1994-04-15 Tokyo Electron Ltd 処理システム
US5516732A (en) * 1992-12-04 1996-05-14 Sony Corporation Wafer processing machine vacuum front end method and apparatus
DE4309092C2 (de) * 1993-03-22 1998-11-12 Joachim Dr Scheerer Verfahren und Vorrichtung zur Handhabung und zum Transport von Wafern in Reinst-Räumen
US5417537A (en) * 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5741109A (en) * 1995-07-07 1998-04-21 Pri Automation, Inc. Wafer transfer system having vertical lifting capability
US5647718A (en) * 1995-07-07 1997-07-15 Pri Automation, Inc. Straight line wafer transfer system
KR100310249B1 (ko) * 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
US5810549A (en) * 1996-04-17 1998-09-22 Applied Materials, Inc. Independent linear dual-blade robot and method for transferring wafers
US6176667B1 (en) * 1996-04-30 2001-01-23 Applied Materials, Inc. Multideck wafer processing system
US5772773A (en) 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US5944940A (en) * 1996-07-09 1999-08-31 Gamma Precision Technology, Inc. Wafer transfer system and method of using the same
US5789878A (en) * 1996-07-15 1998-08-04 Applied Materials, Inc. Dual plane robot
JP3947761B2 (ja) * 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US5909994A (en) * 1996-11-18 1999-06-08 Applied Materials, Inc. Vertical dual loadlock chamber
US6183183B1 (en) * 1997-01-16 2001-02-06 Asm America, Inc. Dual arm linear hand-off wafer transfer assembly
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6059507A (en) * 1997-04-21 2000-05-09 Brooks Automation, Inc. Substrate processing apparatus with small batch load lock
US5951770A (en) * 1997-06-04 1999-09-14 Applied Materials, Inc. Carousel wafer transfer system
US6280134B1 (en) * 1997-06-17 2001-08-28 Applied Materials, Inc. Apparatus and method for automated cassette handling
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
WO1999028951A2 (en) * 1997-11-28 1999-06-10 Mattson Technology, Inc. Systems and methods for low contamination, high throughput handling of workpieces for vacuum processing
US6146077A (en) * 1998-01-13 2000-11-14 Samsung Electronics Co., Ltd. Wafer transfer system of semiconductor fabricating equipment using a serial number detecting device
JP3286240B2 (ja) * 1998-02-09 2002-05-27 日本エー・エス・エム株式会社 半導体処理用ロードロック装置及び方法
KR100265287B1 (ko) * 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US20010014268A1 (en) * 1998-10-28 2001-08-16 Charles S. Bryson Multi-axis transfer arm with an extensible tracked carriage
JP2000195921A (ja) * 1998-12-25 2000-07-14 Tokyo Electron Ltd 搬送装置
US6610150B1 (en) * 1999-04-02 2003-08-26 Asml Us, Inc. Semiconductor wafer processing system with vertically-stacked process chambers and single-axis dual-wafer transfer system
US6318945B1 (en) * 1999-07-28 2001-11-20 Brooks Automation, Inc. Substrate processing apparatus with vertically stacked load lock and substrate transport robot
US6568899B1 (en) * 1999-11-30 2003-05-27 Wafermasters, Inc. Wafer processing system including a robot
US6303906B1 (en) * 1999-11-30 2001-10-16 Wafermasters, Inc. Resistively heated single wafer furnace
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6410455B1 (en) * 1999-11-30 2002-06-25 Wafermasters, Inc. Wafer processing system
US6395648B1 (en) * 2000-02-25 2002-05-28 Wafermasters, Inc. Wafer processing system
US6379095B1 (en) * 2000-04-14 2002-04-30 Applied Materials, Inc. Robot for handling semiconductor wafers
US6337467B1 (en) * 2000-05-09 2002-01-08 Wafermasters, Inc. Lamp based scanning rapid thermal processing
US6500737B1 (en) * 2000-06-08 2002-12-31 Wafermasters, Inc. System and method for providing defect free rapid thermal processing
US6734950B2 (en) * 2000-06-13 2004-05-11 Canon Kabushiki Kaisha Load-lock chamber and exposure apparatus using the same
US20020146303A1 (en) * 2001-04-06 2002-10-10 Yoo Woo Sik Wafer handling system and apparatus
US6565304B2 (en) * 2001-04-24 2003-05-20 Amtech Systems, Incorporated Wafer boat elevator system and method
US6752585B2 (en) * 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
JP2003060012A (ja) 2001-08-08 2003-02-28 Asm Japan Kk 半導体処理用反応チャンバ
US6887803B2 (en) * 2001-11-08 2005-05-03 Wafermasters, Inc. Gas-assisted rapid thermal processing
US6663340B1 (en) * 2002-08-30 2003-12-16 Motorola, Inc. Wafer carrier transport system for tool bays
FR2844258B1 (fr) * 2002-09-06 2005-06-03 Recif Sa Systeme de transport et stockage de conteneurs de plaques de semi-conducteur, et mecanisme de transfert
US6952889B2 (en) * 2002-11-05 2005-10-11 Wafermasters, Inc. Forced convection assisted rapid thermal furnace
US20050194096A1 (en) 2003-08-29 2005-09-08 Crossing Automation, Inc. Method and apparatus for semiconductor processing
US6897162B2 (en) * 2003-10-20 2005-05-24 Wafermasters, Inc. Integrated ashing and implant annealing method
US7458763B2 (en) * 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
US7890194B2 (en) * 2005-12-13 2011-02-15 Brooks Automation, Inc. Robotics programming interface
US20050113976A1 (en) * 2003-11-10 2005-05-26 Blueshift Technologies, Inc. Software controller for handling system
US20070144421A1 (en) * 2005-12-13 2007-06-28 Chris Kiley Chain driven positioning device methods and systems
FR2930491B1 (fr) 2008-04-25 2012-04-20 Michelin Soc Tech Equipement de manutention de packs de pneumatiques

Also Published As

Publication number Publication date
CN101894779B (zh) 2013-05-01
US20080073031A1 (en) 2008-03-27
TW200522136A (en) 2005-07-01
US20050194096A1 (en) 2005-09-08
US20100221915A1 (en) 2010-09-02
WO2005022602A3 (en) 2007-08-16
WO2005022602A2 (en) 2005-03-10
US7748944B2 (en) 2010-07-06
CN101894779A (zh) 2010-11-24
CN101094933A (zh) 2007-12-26
US20080089774A1 (en) 2008-04-17

Similar Documents

Publication Publication Date Title
CN101894779B (zh) 用于半导体处理的方法和装置
KR102502793B1 (ko) 멀티-스테이션 프로세싱 및 전-프로세싱 스테이션 및/또는 후-프로세싱 스테이션을 갖는 컴팩트 기판 프로세싱 툴
KR100778208B1 (ko) 기판 반송 장치, 기판 반송 방법 및 기판 처리 시스템
US8328940B2 (en) Apparatus for transferring a substrate
US20140099176A1 (en) Vacuum processing apparatus and vacuum processing method
US20060251499A1 (en) Linear substrate delivery system with intermediate carousel
US20090078374A1 (en) Apparatus and methods for transporting and processing substrates
KR102091392B1 (ko) 기판 반송 장치 및 기판 반송 방법
JP2008258188A (ja) 基板処理装置、基板処理方法及び記憶媒体
KR101170357B1 (ko) 기판 교환 방법 및 기판 처리 장치
CN102017120B (zh) 高吞吐量清洁室
CN101767718A (zh) 传送一个或多个基板于工艺模块之间或装卸站之间的方法
JPH03274746A (ja) マルチチャンバ装置
US6451118B1 (en) Cluster tool architecture for sulfur trioxide processing
JP2013098412A (ja) 真空処理装置および被処理体の搬送方法
KR20160054420A (ko) 증착 챔버를 처리 챔버로부터 분리시키는 격리 영역을 포함하는 프로세싱 시스템
KR100999104B1 (ko) 기판의 반송장치
CN111742400A (zh) 移动衬底传送室
CN103283011B (zh) 成膜装置
US11538705B2 (en) Plasma processing system and operating method of the same
JP3454034B2 (ja) 真空処理装置
JP4712731B2 (ja) 搬送ロボット、真空装置
KR20120117316A (ko) 기판처리장치
KR20070018770A (ko) 반도체 처리 장치 및 방법
KR101718518B1 (ko) 건식 및 습식 처리를 위한 단일 플랫폼의 기판처리설비

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20101124