KR20160054420A - 증착 챔버를 처리 챔버로부터 분리시키는 격리 영역을 포함하는 프로세싱 시스템 - Google Patents

증착 챔버를 처리 챔버로부터 분리시키는 격리 영역을 포함하는 프로세싱 시스템 Download PDF

Info

Publication number
KR20160054420A
KR20160054420A KR1020150155113A KR20150155113A KR20160054420A KR 20160054420 A KR20160054420 A KR 20160054420A KR 1020150155113 A KR1020150155113 A KR 1020150155113A KR 20150155113 A KR20150155113 A KR 20150155113A KR 20160054420 A KR20160054420 A KR 20160054420A
Authority
KR
South Korea
Prior art keywords
chamber
processing
substrate
deposition
processing system
Prior art date
Application number
KR1020150155113A
Other languages
English (en)
Other versions
KR102258593B1 (ko
Inventor
카르티크 자나키라만
아브히지트 바수 말릭
하리 케이. 폰네칸티
만드얌 스리람
알렉산드로스 티. 데모스
무쿤드 스리니바산
주안 카를로스 로차-알바레즈
데일 알. 두보이스
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20160054420A publication Critical patent/KR20160054420A/ko
Application granted granted Critical
Publication of KR102258593B1 publication Critical patent/KR102258593B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/203Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using physical deposition, e.g. vacuum deposition, sputtering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/67213Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one ion or electron beam chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67709Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations using magnetic elements
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers

Abstract

증착 챔버, 처리 챔버, 및 증착 챔버를 처리 챔버로부터 분리시키는 격리 영역을 포함하는 프로세싱 시스템에서 기판을 프로세싱하기 위한 장치 및 방법이 본원에서 설명된다. 증착 챔버는 필름을 기판 상에 증착시킨다. 처리 챔버는 증착 챔버로부터 기판을 수용하고, 증착 챔버에서 증착된 필름을 필름 특성 변경 디바이스를 이용하여 변경한다. 상기 실시예 및 다른 실시예들에 따라 프로세싱 시스템들 및 방법들이 제공된다.

Description

증착 챔버를 처리 챔버로부터 분리시키는 격리 영역을 포함하는 프로세싱 시스템{PROCESSING SYSTEM CONTAINING AN ISOLATION REGION SEPARATING A DEPOSITION CHAMBER FROM A TREATMENT CHAMBER}
[0001] 본 발명은 일반적으로, 증착 챔버를 처리 챔버로부터 분리시키는 격리 영역을 포함하는 프로세싱 시스템에 관한 것이다.
[0002] 반도체 제조에서, 다음 세대 화학 기상 증착(CVD) 필름들은 아마도, 원하는 필름 특성들을 획득하기 위해, 필름 증착 프로세스에 후속하는 처리 프로세스를 요구할 것이다. 부가적으로, 처리 프로세스는, 자연적인 산화물 형성을 회피하기 위해, 필름 증착 프로세스 이후에 곧바로 수행될 필요가 있을 수 있다.
[0003] 반도체 프로세싱 시스템들을 위한 기존의 아키텍쳐들은 신속한 순차적인 증착 및 처리 프로세스들을 위해 디자인되지 않는다. 게다가, 종래의 프로세싱 시스템들은 대형이며, 클린룸 환경들에서 상당한 그리고 값비싼 바닥 공간을 차지한다. 따라서, 증착 챔버로부터 처리 챔버로의 기판들의 더 신속한 이송을 수용하기 위해 종래의 반도체 프로세싱 시스템들의 크기를 증가시키는 것은 용인 가능한 해결책이 아니다.
[0004] 따라서, 순차적인 증착 및 프로세싱에 적합한 개선된 반도체 프로세싱 시스템에 대한 필요가 존재한다.
[0005] 증착 챔버, 처리 챔버, 및 적어도 하나의 격리 영역을 포함하는 프로세싱 시스템이 본원에서 개시된다. 증착 챔버는 필름을 기판 상에 증착시키도록 구성된다. 처리 챔버는 기판들을 증착 챔버로부터 수신하도록 배열된다. 처리 챔버는 기판들을 증착 챔버로부터 멀어지도록 통과시킨다. 처리 챔버는 필름 특성 변경(altering) 디바이스를 포함한다. 필름 특성 변경 디바이스는 처리 챔버에 배치된 기판을 처리하도록 동작 가능하다. 필름 특성 변경 디바이스는 증착 챔버에서 증착된 필름의 특성을 변경시킨다. 격리 영역은 증착 챔버를 처리 챔버로부터 분리시키도록 구성된다.
[0006] 다른 실시예에서, 기판을 프로세싱 시스템에서 프로세싱하기 위한 방법이 본원에서 설명된다. 방법은 기판을 제 1 증착 챔버 내로 이송하는 단계를 포함한다. 기판이 제 1 증착 챔버에 있는 동안 필름이 기판 상에 증착된다. 기판은, 증착 챔버를 제 1 처리 챔버로부터 분리시키는 제 1 격리 영역을 통해서 이송된다. 증착된 필름의 특성은 제 1 처리 챔버에서 변경된다.
[0007] 다른 실시예에서, 증착 챔버, 처리 챔버, 적어도 하나의 격리 영역, 및 이송 메커니즘을 포함하는 프로세싱 시스템이 본원에서 설명된다. 증착 챔버는 필름을 기판 상에 증착시키도록 구성된다. 증착 챔버는 기판 지지부를 포함한다. 기판 지지부는 증착 챔버의 내부 용적에서 기판을 지지하도록 구성된다. 처리 챔버는 증착 챔버와 인-라인(in-line)이다. 처리 챔버는 기판 지지부 및 필름 특성 변경 디바이스를 포함한다. 기판 지지부는, 프로세싱을 위해, 처리 챔버의 내부 용적에서 기판을 지지하도록 구성된다. 필름 특성 변경 디바이스는 처리 챔버에 배치된 기판을 처리하도록 동작 가능하다. 필름 특성 변경 디바이스는 증착 챔버에서 기판 상에 증착된 필름의 특성을 변경시킨다. 필름 특성 변경 디바이스는 처리 챔버의 내부 용적에 배치된다. 필름 특성 변경 디바이스는 내부 용적에서 기판 지지부의 정상부 표면에 실질적으로 평행하고, 정상부 표면 위에 있다. 적어도 하나의 격리 영역은 증착 챔버를 처리 챔버로부터 분리시키도록 구성된다. 이송 메커니즘은 기판을 증착 챔버로부터, 격리 영역을 통해, 그리고 처리 챔버 내로 이송하도록 구성된다. 증착 챔버, 처리 챔버, 격리 영역, 및 이송 메커니즘은 진공 밀봉(vacuum tight) 프로세싱 시스템에 상주한다.
[0008] 본 명세서에 통합되고, 본 명세서의 부분을 구성하는 첨부된 도면들은 본 발명을 개략적으로 예시하고, 상기 주어진 대략적인 설명 및 이하에 주어지는 상세한 설명과 함께, 본 발명의 원리들을 설명하는 역할을 한다.
[0009] 도 1은 인라인 프로세싱 시스템에 대한 레이아웃(layout)을 개략적으로 예시하고;
[0010] 도 2는, 격리 영역이 가스 커튼인, 격리 영역의 일 실시예를 예시하며;
[0011] 도 3은, 격리 영역이 슬릿 밸브인, 격리 영역의 다른 실시예를 예시하고;
[0012] 도 4a는 프로세싱 시스템의 증착 챔버의 단면도를 예시하며;
[0013] 도 4b는 프로세싱 시스템의 처리 챔버의 단면도를 예시하고;
[0014] 도 5는 프로세싱 시스템의 챔버 내에 배치된 캐리어의 평면도를 예시하며;
[0015] 도 6a-6e는 기판을, 프로세싱 시스템의 챔버 내의 기판 지지부에 이송하는 캐리어의 프로세스를 예시하고;
[0016] 도 7은 선형 프로세싱 시스템의 레이아웃을 예시하며;
[0017] 도 8은 수직형 프로세싱 시스템의 레이아웃을 예시하고;
[0018] 도 9a-9c는 레이스트랙(racetrack)형 프로세싱 시스템의 시퀀스를 예시하며;
[0019] 도 10은 캐러셀(carousel)형 프로세싱 시스템의 레이아웃을 예시하고;
[0020] 도 11a는 반도체 텐덤(tandem)형 프로세싱 시스템의 평면도를 예시하며; 그리고
[0021] 도 11b는 반도체 텐덤형 프로세싱 시스템의 4개의(quad) 프로세싱 스테이션의 확대도를 예시한다.
[0022] 명확함을 위해, 적용 가능한 경우, 도면들 간에서 공통적인 동일한 엘리먼트들을 지정하는 데에 동일한 참조 번호들이 사용되었다.
[0023] 도 1은 순차적인 프로세싱 시스템(100)을 개략적으로 예시하는데, 이러한 프로세싱 시스템은, 프로세싱 시스템(100) 내에서 필름을 기판 상에 순차적으로 증착시키고 처리하기에 적합하다. 프로세싱 시스템(100)은 프로세스 스테이션(122), 격리 영역(104), 및 로드 록 스테이션(108)을 포함한다. 프로세스 스테이션(122), 격리 영역(104), 및 로드 록 스테이션(108)은 연속적인 진공 밀봉 플랫폼(110)을 형성하도록 연결된다.
[0024] 펌프 시스템(120)은 로드 록 스테이션(108), 프로세스 스테이션(122), 및 격리 영역(104)에 커플링된다. 펌프 시스템(120)은 프로세싱 시스템(100) 내의 압력을 제어한다. 펌프 시스템(120)은, 진공 밀봉 플랫폼(110)으로부터의 기판들의 제거 및 진입을 용이하게 하기 위해, 필요에 따라, 로드 록 스테이션(108)을 통기시키고(vent) 펌핑 다운(pump down)하는 데에 활용될 수 있다.
[0025] 프로세스 스테이션(122)은 적어도 하나의 증착 영역(102)과 적어도 하나의 처리 영역(106)을 포함한다. 하나 또는 그 초과의 처리 영역들(106) 중 적어도 하나는 증착 영역들(102) 중 적어도 하나로부터(즉, 프로세싱 시스템(100)을 통하는 프로세스 흐름의 방향에 대해) 하류에 순차적으로 있다. 예를 들어, 처리 영역(106)은 여러 증착 영역들(102)(도 1에서 Di로서 예시됨) 중 마지막 영역의 하류에 순차적으로 있을 수 있다. 격리 영역(104)은, 영역들(102, 106) 사이에서 가스들의 유동을 방지하거나, 또는 적어도 실질적으로 최소화하는 데에 사용된다.
[0026] 프로세싱 시스템(100)은 통신 케이블(128)에 의해 제어기(112)에 커플링된다. 제어기(112)는 프로세싱 시스템(100) 내에서 기판(도시되지 않음)의 프로세싱을 제어하도록 동작 가능하다. 제어기(112)는, 기판을 프로세싱하는 프로세스들의 제어를 용이하게 하기 위해, 프로세싱 시스템(100)의 다양한 컴포넌트들에 커플링된, 전원들, 클럭들, 캐시, 및 입력/출력(I/O) 회로들, 등과 같은 지원 회로들(118), 입력 제어 유닛 및 디스플레이 유닛(도시되지 않음), 및 메모리(114) 및 대용량 저장소 디바이스와 동작 가능한, 프로그램 가능한 중앙 처리 유닛(CPU)(116)을 포함한다. 제어기(112)는 또한, 프로세싱 시스템(100)에서 센서들(도시되지 않음)을 통해 기판의 프로세싱을 모니터링하기 위한 하드웨어를 포함할 수 있다.
[0027] 기판의 프로세싱 및 프로세싱 시스템(100)의 제어를 용이하게 하기 위해, CPU(116)은 기판 프로세스를 제어하기 위한 임의의 형태의 범용 컴퓨터 프로세서들 중 하나일 수 있다. 메모리(114)는 CPU(116)에 커플링되고, 메모리(114)는 비-일시적이며, 랜덤 액세스 메모리(RAM), 리드 온리 메모리(ROM), 플로피 디스크 드라이브, 하드 디스크, 또는 로컬 또는 원격의, 임의의 다른 형태의 디지털 저장소와 같은 쉽게 입수 가능한 메모리 중 하나 또는 그 초과일 수 있다. 지원 회로들(118)은 종래의 방식으로 CPU(116)를 지원하기 위해, CPU(116)에 커플링된다. 기판을 프로세싱하기 위한 프로세스는 일반적으로 메모리(114)에 저장된다. 기판을 프로세싱하기 위한 프로세스는 또한, CPU(116)에 의해 제어되는 하드웨어로부터 원격으로 로케이팅된 제 2 CPU(도시되지 않음)에 의해 저장되고 그리고/또는 실행될 수 있다.
[0028] 메모리(114)는, 명령들을 포함하는 컴퓨터-판독 가능한 저장소 매체의 형태이고, 명령들은, CPU(116)에 의해 실행될 때, 프로세싱 시스템(100)에서 기판을 프로세싱하는 동작을 가능하게 한다. 메모리(114)의 명령들은, 기판을 프로세싱하는 동작을 구현하는 프로그램과 같은 프로그램 제품의 형태이다. 프로그램 코드는 다수의 상이한 프로그래밍 언어들 중 임의의 언어를 따를 수 있다. 일 예에서, 본 개시물은, 컴퓨터 시스템과 함께 사용하기 위한 컴퓨터 판독 가능한 저장소 매체에 저장된 프로그램 제품으로서 구현될 수 있다. 프로그램 제품의 프로그램(들)은 실시예들의 기능들을 정의한다. 예시적인 컴퓨터-판독 가능한 저장소 매체는: (i) 정보가 영구적으로 저장되는 비-기록가능한 저장소 매체(예를 들어, 컴퓨터 내의 리드-온리 메모리 디바이스들, 예컨대, CD-ROM 드라이브에 의해 판독 가능한 CD-ROM 디스크들, 플래시 메모리, ROM 칩들 또는 임의의 유형의 솔리드-스테이트(solid-state) 비-휘발성 반도체 메모리); 및 (ii) 변경 가능한 정보가 저장되는 기록 저장소 매체(예를 들어, 디스켓 드라이브 내의 플로피 디스크들 또는 하드-디스크 드라이브 또는 임의의 유형의 솔리드-스테이트 랜덤-액세스 반도체 메모리)를 포함하지만, 이에 제한되지는 않는다. 그러한 컴퓨터-판독 가능한 저장소 매체는, 본원에서 설명되는 방법들의 기능들을 지시하는 컴퓨터-판독 가능한 명령들을 수행할 때, 본 개시물의 실시예들이다.
[0029] 격리 영역(104)에 의해 분리되는, 프로세스 스테이션(122)의 각각의 증착 영역(102) 및 처리 영역(106) 각각을 통해 기판들을 이송히키기 위해서, 운동 메커니즘(도 1에 도시되지 않음)이 제공된다. 기판은, 프로세싱 시스템(100)의 마지막 처리 영역(106)의 하류에 연결된 다른 로드 록 스테이션(108)을 통해, 프로세싱 시스템(100)을 떠날 수 있다. 프로세싱 시스템(100)에서 프로세싱된 기판은, 기판이 산화(oxidize)될 수 있는, 프로세싱 시스템(100)의 외부의 실질적으로 주변(예를 들어, 대기) 환경에 노출되지 않는데, 이는, 진공 밀봉 플랫폼(110)을 형성하도록 로드 록 스테이션(108), 프로세스 스테이션(122), 및 격리 영역(104)이 상호연결되기 때문이다.
[0030] 도 2는, 가스 커튼(202)으로서 구성된 격리 영역(104)의 일 실시예의 예시이다. 가스 커튼(202)은 프로세싱 시스템(100)의 프로세스 스테이션(122)의 임의의 2개의 인접한 증착 및 처리 영역들(102, 106)을 분리시킨다. 도 2에 도시된 구성에서, 프로세스 스테이션(122)은, 프로세싱 챔버의 단일의 연속적인 내부 용적의 부분으로서 챔버 내부에 정의된 증착 및 처리 영역들(102, 106)을 갖는 단일 프로세싱 챔버이다. 예를 들어, 가스 커튼(202)은, 증착 영역(102)을 처리 영역(106)으로부터 분리시키는 프로세스 불활성 가스들의 유동을 제공한다. 가스 커튼(202)은 격리 영역(104)에 배치된 적어도 하나의 노즐(204)을 포함한다. 노즐(204)은 배기 포트(208)와 정렬될 수 있다. 가스 소스(206)가 도관(212)을 통해 노즐(204)에 커플링된다. 불활성 가스의 수직 가스 커튼(210)을 생성하기 위해, 다른 가스들 중에서도 질소와 같은 프로세스 불활성 가스들이 노즐(204)로부터 제공될 수 있다. 수직 가스 커튼(210)은 증착 영역(102)에서 사용되는 가스들을 처리 영역(106)에서 사용되는 가스들로부터 분리시킨다. 가스 커튼(210)에 의해 제공되는 가스들은, 격리 영역(104)의 바닥부에 형성된 배기 포트(208)를 통해, 격리 영역(104) 밖으로 유동할 수 있다. 배기 포트(208)는 설비 배기부(도시되지 않음)에 커플링될 수 있다.
[0031] 가스 커튼(202)은, 장차(future) (이하에서 설명되는) 운동 메커니즘을 활용하여, 기판(도시되지 않음)이, 증착 영역(102)으로부터 처리 영역(106)으로 끊김없이(seamlessly) 이동하는 것을 허용한다. 2개의 인접한 증착 및 처리 영역들(102, 106)이 가스 커튼(210)을 통해 연결되는 것을 허용하지만, 영역들(102, 106) 사이의 신속한 이송은 허용하면서도, 인접한 영역들(102, 106) 사이의 교차 오염은 감소되거나, 또는 실질적으로 제거된다.
[0032] 도 3은 슬릿 밸브 조립체(300)로서 구성된 격리 영역(104)의 다른 실시예를 제공한다. 도 3에 도시된 구성에서, 프로세스 스테이션(122)의 증착 및 처리 영역들(102, 106)은 2개의 분리된 프로세싱 챔버들로서 정의된다.
[0033] 슬릿 밸브 조립체(300)는 측벽들(304A, 304B)에 형성된 슬릿 밸브 개구부(302), 슬릿 밸브 도어(306), 및 액츄에이터(308)를 포함한다. 측벽들(304A, 304B)은, 슬릿 밸브 도어(306)가 배치될 수 있는, 격리 영역(104)의 내부 영역에 바인딩된다(bound). 슬릿 밸브 도어(306)는 액츄에이터(308)에 의해, 슬릿 밸브 개구부(302)를 밀봉하는 제 1 (폐쇄) 포지션(312)과, 증착 영역(102)과 처리 영역(106) 사이에서 슬릿 밸브 개구부(302)를 통해 기판이 이송되는 것을 허용하는 제 2 (개방) 포지션(312) 사이에서 이동될 수 있다.
[0034] 도 4a는, 내부에 운동 메커니즘(400)이 배치된 증착 영역(102)의 일 실시예의 단면도를 제공한다. 도 4a에 도시되지는 않았지만, 운동 메커니즘(400)은, 영역들(102, 104, 106) 사이에서 기판의 이송을 용이하게 하기 위해, 격리 영역(104) 및 처리 영역(106)에 유사하게 존재한다.
[0035] 계속해서 도 4a를 참조하면, 증착 챔버는 덮개(416), 측벽들(418), 바닥부(432), 샤워헤드(410), 및 기판 지지부(426)를 포함한다. 샤워헤드(410)는 증착 영역(102)의 내부에 배치되고, 덮개(416)에 커플링된다. 가스 패널(420)은 도관(422)에 의해 샤워헤드(410)에 연결된다. 가스 패널(420)은 프로세스 가스를 샤워헤드(410)에 제공한다. 샤워헤드(410)는, 프로세스 가스의 하방 유동(412)을, 샤워헤드(410)와 기판 지지부(426) 사이에 정의된 반응 구역(436) 내로 지향시킨다. 샤워헤드(410)는 또한, RF 소스(424)에 연결될 수 있다. RF 소스(424)는 RF 전력을 샤워헤드(410)에 제공하여서, 반응 구역(436)에 존재하는 프로세스 가스로부터 플라즈마가 형성될 수 있다.
[0036] 선택적으로, 샤워헤드(410)에 대한 대안으로서, 프로세스 가스를 반응 구역(436) 내로 도입하는 데에 노즐들(414)이 활용될 수 있다. 노즐들(414)은 증착 영역(102)의 내부에 배치될 수 있다. 예를 들어, 노즐들(414)은 증착 영역(102)의 덮개(416) 및/또는 측벽(418)에 커플링될 수 있다. 가스 패널(420)은 도관(422)에 의해 각각의 노즐(414)에 커플링된다.
[0037] 기판 지지부(426)는 증착 영역(102)의 내부에 배치되고, 증착 영역(102)의 바닥부(434)에 커플링된다. 기판 지지부(426)는 플랫폼(438)을 더 포함한다. 플랫폼(438)을 상승 및/또는 하강시키기 위해, 액츄에이터(444)가 기판 지지부(426)에 커플링된다. 액츄에이터(444)는 기판 지지부(426) 상에 포지셔닝된 기판(428)과 샤워헤드(410) 사이의 공간을 제어한다. 기판 지지부(426)는 접지부(ground; 432)에 커플링된다.
[0038] 도 4a는, 운동 메커니즘(400)이 기판(428)을 증착 영역(102)에 전달했을 때의 증착 영역(102)을 더 도시한다. 운동 메커니즘(400)은 기판 캐리어(402), 가이드 레일(404), 영구 자석(406), 및 자기 모터(408)를 포함한다. 가이드 레일들(404)은 증착 영역(102)의 바닥부(434)에 커플링된다. 가이드 레일들(404)은 기판 캐리어(402)에 커플링된 가이드(405)와 상호작용한다. 가이드(405)는 가이드 레일들(404)을 따라서 그리고/또는 가이드 레일들(404) 위에서 슬라이딩하도록 구성된다. 가이드(405) 및 가이드 레일들(404)은 기판 캐리어(402)가, 증착 영역(102)에서 미리 정해진 포지션에 포지셔닝되는 것을 허용한다. 가이드(405) 및 가이드 레일들(404)은 기판 캐리어(402)가, 프로세싱 시스템(100)의 다른 부분들 또는 다른 영역들(104, 106) 사이에서 이동하는 것을 허용한다. 가이드(405) 및 가이드 레일들(404)은 볼 베어링 또는 솔리드 슬라이드, 공기 또는 자기 베어링, 또는 다른 적합한 베어링 시스템일 수 있다.
[0039] 기판 캐리어(402)는 기판(428)을 프로세싱 시스템(100)을 통해 운반하도록 구성된다. 영구 자석들(406)은, 자기 모터(408)에 근접하여, 기판 캐리어(402)의 측(lateral) 단부들에 커플링된다. 자기 모터(408)는 증착 영역(102)의 외부 또는 내부에 배치될 수 있다. 자기 모터(408)는 복수의 코일들을 포함할 수 있다. 코일들은, 교번하는(alternating) 자기장을 생성하기 위해, 순차적으로 에너자이징된다(energized). 자기장의 극성은 영구 자석(406)을 강제(urge)하도록, 제어 가능하게 시퀀싱될(sequenced) 수 있다. 따라서, 기판 캐리어(402) 및 캐리어 상의 기판은, 증착 영역(102) 내의 미리 정의된 포지션으로 이동된다. 자기장은 또한, 캐리어가, 영역들(102, 104, 106) 사이에서 이동하는 것을 허용한다. 일 실시예에서, 자기 모터(408)는 소여 모터(sawyer motor)일 수 있다.
[0040] 증착 영역(102)의 측벽들(418)의 외부는 뱅크(bank; 442)를 포함할 수 있다. 자기 모터(408)는 뱅크(442) 내에 포지셔닝될 수 있다. 뱅크(442)에서, 자기 모터(408)는, 측벽(418)에 의해, 증착 영역(102) 내의 환경으로부터 격리된다. 자기 모터(408)는, 프로세싱 시스템(100) 내에서의 기판 캐리어(402)의 제어 가능한 포지셔닝을 허용하기 위해, 프로세싱 시스템(100)의 길이를 따라 연장한다(run). 증착 영역(102) 내에서 기판 캐리어(402)의 포지션에 응답하여 자기 모터(408)의 극성들의 시퀀싱을 제어하기 위해 제어기(112)가 활용된다. 기판 캐리어(402)의 포지션 피드백(positional feedback)을 제어기(112)에 제공하기 위해, 센서들(도시되지 않음)이 프로세싱 시스템(100) 내에 배치된다.
[0041] 도 4b는, 처리 영역(106) 내에 배치된 운동 메커니즘(400)을 예시하는, 처리 영역(106)의 단면도를 제공한다. 처리 영역(106)은 덮개(450), 측벽들(452), 바닥부(454), 필름 특성 변경 디바이스(456), 및 기판 지지부(458)를 포함한다. 필름 특성 변경 디바이스(456)는, 기판 및 기판 캐리어(402)가 처리 영역(106)에 포지셔닝되어 있는 동안, 에너지 및/또는 케미칼들(chemicals)을, 증착 영역(102)에서 증착된 필름에 제공하도록 구성된다. 필름 특성 변경 디바이스(456)는 처리 영역(106)의 외부 또는 내부에 배치될 수 있다. 적어도 하나의 실시예에서, 필름 특성 변경 디바이스(456)는 덮개(450)에 커플링된다.
[0042] 몇몇 실시예들에서, 처리 소스(460)는 도관(422)에 의해 필름 특성 변경 디바이스(456)에 연결될 수 있다. 처리 소스(460)는 케미칼 또는 에너지를 필름 특성 변경 디바이스(456)에 제공한다. 처리 소스(460)로부터의 에너지는 하방 처리 유동(462)을 기판(428)으로 지향시킬 것이다. 처리 유동(462)은, 도 4a에 도시된 증착 영역(102)에서 증착된 필름의 특성을 변경하기 위해, 처리 영역(106)에 배치된 기판(428)을 처리하도록 동작 가능하다.
[0043] 기판 지지부(458)는 처리 영역(106)의 내부에 배치된다. 기판 지지부(458)는 처리 영역(106)의 바닥부(454)에 커플링된다. 기판 지지부(458)는 플랫폼(464)을 더 포함한다. 액츄에이터(466)는, 플랫폼(464)을 상승 및/또는 하강시키기 위해, 기판 지지부(458)에 커플링된다.
[0044] 도 4b는 운동 메커니즘(400)이 기판(428)을 처리 영역(106)에 전달했을 때의 처리 영역(106)을 더 도시한다. 도 4a에 대해 상기 설명된 바와 같이, 운동 메커니즘(400)은 기판 캐리어(402), 가이드 레일(404), 영구 자석(406), 및 자기 모터(408)를 포함한다.
[0045] 기판(428)을 증착 영역(102) 내로 이동시키고 기판(428)을 기판 지지부(426) 상에 로딩하는 프로세스가 도 6a-6e에서 더 상세하게 제공된다.
[0046] 이제 도 5에 예시된 기판 캐리어(402)의 평면도를 참조하면, 기판 캐리어(402)는 기판 수용 포켓(430), 영구 자석(406), 및 가이드(405)를 포함한다. 기판(428)은 기판 캐리어(402)의 정상부 상에 지지될 수 있다. 운동 메커니즘(400)은 기판 캐리어(402) 상에 배치된 기판(428)을 증착 영역(102)에 전달하도록 동작 가능하다. 운동 메커니즘(400)은 또한, 기판 캐리어(402) 및 기판(428)을 기판 지지부(426)의 플랫폼(438)과 정렬시킬 수 있다. 리프트 핀들(도 6c에 도시됨)은 기판 지지부(426)를 관통하여 연장 가능하다. 리프트 핀들은 기판(428)을 기판 캐리어(402)에서 멀리 상승시키도록 구성된다. 상승된 기판(428)은, 기판 캐리어(402)가, 운동 메커니즘(400)에 의해, 플랫폼(438)에서 멀리(clear of) 이동되는 것을 허용한다. 기판(428)을 지지하는 리프트 핀들은 기판 지지부(426)를 통해 복귀하여서(retract), 기판 지지부(426)의 플랫폼(438) 상에 기판(428)을 포지셔닝한다. 상부에 기판(428)이 포지셔닝된 기판 지지부(426)는, 샤워헤드(410)에 근접한 프로세싱 포지션에 기판(428)을 위치시키기 위해, 상향으로 변위될 수 있다.
[0047] 기판 지지부(426) 상에 배치된 기판(428)을 프로세싱한 이후, 기판 지지부(426)는 샤워헤드(410)로부터 멀리, 기판 캐리어(402)가 이동하는 평면 아래의 이송 포지션으로 하강될 수 있다. 리프트 핀들은, 기판(428)을 기판 지지부(426)로부터 이격시키기 위해, 액츄에이팅된다. 공간은, 기판 캐리어(402)가, 상승된 기판(428)과 기판 지지부(426)의 플랫폼 사이에서 이동되는 것을 허용한다. 그런 후에, 리프트 핀들은, 기판(428)을 기판 캐리어(402) 상에 다시 위치시키기 위해, 복귀한다. 그런 후에, 운동 메커니즘(400)은 기판 캐리어(402) 상에 배치된 기판(428)을 증착 영역(102)으로부터 처리 영역(106)으로 전달한다.
[0048] 도 6a-6e는, 증착 영역(102)에 진입하여, 증착을 위해 기판(428)을 기판 지지부(426) 상에 로딩하는 운동 메커니즘(400)의 시퀀스를 도시한다. 도 6a는 격리 영역(104)으로부터 증착 영역(102)에 진입하는 운동 메커니즘(400)을 도시한다. 기판 지지부(426)는 하강된(이송) 포지션(601)에 있다. 하강된 포지션(601)의 기판 지지부(426)는 기판 캐리어(402)가 기판 지지부 위에 포지셔닝되는 것을 허용한다.
[0049] 도 6b에서, 기판 캐리어(402)는 기판 지지부(426)의 플랫폼(438) 위에 정렬된다. 증착 영역(102) 내의 센서(들)(도시되지 않음)는, 기판 캐리어(402)를 플랫폼(438)과 정렬시키기 위해, 운동 메커니즘(400)의 동작을 제어하는 제어기(도시되지 않음)와 통신할 수 있다. 제어기는, 기판 캐리어(402)가 플랫폼(438)과 정렬될 때 운동 메커니즘(400)을 정지시킨다. 기판 캐리어(402) 상에 배치된 기판(428)은 이제, 샤워헤드(410)와 기판 지지부(426)의 플랫폼(438) 사이에 포지셔닝된다.
[0050] 도 6c에서, 기판(428)은 기판 캐리어(402)로부터 제거된다. 기판 지지부(426)는 리프트 핀들(600)을 더 포함한다. 초기에 리프트 핀들(600)이 복귀된 포지션(retracted position)에 있어서, 리프트 핀들(600)의 정상부들은 플랫폼(438)의 정상부 표면 아래에 있거나 그와 동일한 평면 상에 있다. 기판 캐리어 상에 배치된 기판(428)이 플랫폼(438)과 정렬될 때 리프트 핀들(600)을 상승시키기 위해, 제어기는 액츄에이터(444)와 통신한다. 리프트 핀들(600)은 기판 지지부(426)의 정상부 표면을 관통하여 연장된다. 그런 후에, 리프트 핀들(600)은 기판(428)과 접촉하게 되고, 기판(428)을 기판 캐리어(402) 위로 리프팅한다. 리프트 핀들(600)이 연장된 포지션(602)에 있을 때, 운동 메커니즘(400)은 기판 캐리어(402)를 기판 지지부(426)의 플랫폼(438)에서 멀리 이동시킬 수 있다.
[0051] 도 6d에서, 운동 메커니즘(400)이 기판 캐리어(402)를 기판 지지부(426)의 플랫폼(438) 너머로 이동시킨 경우, 리프트 핀들(600)을 하강시키기 위해, 제어기는 액츄에이터(444)와 통신한다. 리프트 핀들(600)이 기판 지지부(426)를 관통해서 다시 복귀될 때, 기판(428)은 기판 지지부(426)의 플랫폼(438) 상에 놓인다(set on). 그런 후에, 기판 지지부(426)는 기판(428)을 샤워헤드에 근접한 프로세싱 포지션으로 상승시킬 수 있다. 기판 캐리어(402)는 증착 프로세스가 완료될 때 까지 계속 플랫폼(438)에서 멀리 있다.
[0052] 도 6e에서, 증착 영역(102)에서 증착 프로세스가 시작한다. 기판(428)이 플랫폼(438) 상에 고정될 때, 센서(들)는 제어기와 통신한다. 그런 후에, 기판 지지부(426)의 플랫폼(438)을 샤워헤드(410)를 향해 상승시키기 위해, 제어기는 액츄에이터(도시되지 않음)와 통신한다. 증착은, 일단 플랫폼(438)이 상승된 포지션(604)에 있어서 기판(428)을 샤워헤드(410)에 근접하게 위치시키면, 시작할 수 있다.
[0053] 일단 증착 프로세스가 완료되면, 기판(428)을 다시 기판 캐리어(402)로 복귀시키기 위해, 도 6a-6e의 시퀀스가 역으로 수행된다. 기판(428)이 기판 캐리어(402) 상에 지지되고 기판 지지부(426)가 기판 캐리어(402) 아래로 멀리 떨어지면, 운동 메커니즘(400)은, 기판(428)이 상부에 배치된 기판 캐리어(402)를 격리 영역(104)을 통해서 다른 증착 영역(102) 내로 또는 처리 영역(106) 내로 이동시킬 수 있다.
[0054] 도 7은 선형 프로세싱 시스템(700)의 일 구성의 평면도를 예시한다. 선형 프로세싱 시스템(700)은 로드 록 스테이션(108), 증착 영역(102), 격리 영역(104), 처리 영역(106), 교번하는 챔버들(702, 704, 706), 및 운동 메커니즘(400)을 포함한다. 로드 록 스테이션(108), 증착 영역(102), 격리 영역(104), 처리 영역(106), 및 교번하는 챔버들(702, 704, 706)은 X-Z 좌표계에서 선형으로 커플링된다.
[0055] 로드 록 스테이션(108), 증착 영역(102), 처리 영역(106), 및 교번하는 챔버들(702, 704, 706)은 일괄적으로 진공 밀봉 선형 프로세싱 플랫폼(712)으로서 지칭된다. 격리 영역(104)은 임의의 2개의 인접한 선형 프로세싱 영역들(102, 106)을 분리시킨다.
[0056] 운동 메커니즘(400)은 기판 캐리어(402), 영구 자석(406), 및 자기 모터(408)를 포함한다. 운동 메커니즘(400)은 기판 캐리어(402)를 로드 록 스테이션(108)으로부터, 격리 영역(104)을 통해, 증착 영역(102)으로 이동시킬 수 있다. 자기 모터(408)는, 기판 캐리어(402)에 커플링된 영구 자석(406)에 대한 힘을 생성하는 데에 활용되고, 따라서, 기판 캐리어(402)가 선형 프로세싱 시스템(700) 내에서 이동하도록 강제한다.
[0057] 증착 영역(102)은 화학 기상 증착(CVD) 챔버, 스핀-온 코팅 챔버, 유동 가능한(CVD) 챔버, 물리 기상 증착(PVD) 챔버, 원자 층 증착(ALD) 챔버, 에피텍셜 증착 챔버, 또는 얇은 필름들을 증착시키는 데에 적합한 다른 증착 챔버 중 임의의 챔버일 수 있다.
[0058] 처리 영역(106)은 열 처리 챔버, 어닐링 챔버, 급속 열 어닐링 챔버(rapid thermal anneal chamber), 레이저 처리 챔버, 전자 빔 처리 챔버, UV 처리 챔버, 이온 빔 주입 챔버, 이온 침지(ion immersion) 주입 챔버, 또는 증착된 필름의 특성들을 변경시킬 수 있는 다른 처리 챔버 중 임의의 챔버일 수 있다.
[0059] 교번하는 챔버들(702, 704, 706)은 부가적인 증착 영역들(102), 부가적인 처리 영역들(106), 또는 부가적인 증착 영역들(102)과 처리 영역들(106)의 조합일 수 있다. 부가적으로, 교번하는 챔버들(702, 704, 706) 중 임의의 챔버 또는 전체 챔버가 프로세싱 시스템(100)에서 생략될 수 있다.
[0060] 도 8은 인라인 프로세싱 시스템(800)의 다른 실시예의 측면도를 예시한다. 인라인 프로세싱 시스템(800)은 제 2 선형 프로세싱 섹션(804)에 커플링된 제 1 선형 프로세싱 섹션(802)을 포함한다. 섹션들(802, 804) 중 각각의 섹션 내에서의 기판의 이동 방향은 동일 직선 상에 있지 않다. 예를 들어, 기판은 제 1 선형 프로세싱 섹션(802)을 통해, X-Y 좌표계의 양의 y-방향으로 이동할 수 있는 반면, 기판은 제 2 선형 프로세싱 섹션(804)을 통해, 음의 y-방향으로 이동할 수 있다. 섹션들(802, 804)은 커플링 섹션(806)에 의해 연결될 수 있다.
[0061] 제 1 선형 프로세싱 섹션(802)은 로드 록 스테이션(108), 격리 영역(104), 증착 영역(102), 선택적인 교번하는 챔버들(810, 812, 814), 처리 영역(106), 및 제 1 자기 모터(820)를 포함한다. 격리 영역(104)은 적어도 증착 영역(102)을 처리 영역(106)으로부터 분리시킨다. 제 1 자기 모터(820)는 제 1 선형 프로세싱 섹션(802)의 길이를 따라 연장한다. 운동 메커니즘(도 8에서 도시되지 않음)은, 기판을 제 1 선형 프로세싱 섹션(802) 내에서 이동시키는 데에 제 1 자기 모터(820)를 사용한다. 교번하는 챔버들(810, 812, 814)은 다른 증착 영역(102)이거나 또는 처리 영역(106)일 수 있다. 부가적으로, 교번하는 챔버들(810, 812, 814) 중 임의의 챔버 또는 전체 챔버는 제 1 선형 프로세싱 섹션(802)에서 생략될 수 있다.
[0062] 제 2 선형 프로세싱 섹션(804)은 로드 록 스테이션(108), 선택적인 증착 영역(102), 격리 영역(104), 처리 영역(106), 선택적인 교번하는 챔버들(816, 817, 818), 및 제 2 자기 모터(824) 중 하나 또는 그 초과를 포함할 수 있다. 격리 영역(104)은 적어도 처리 영역(106)을 증착 영역(102)으로부터 분리시킨다. 제 2 자기 모터(824)는 제 2 선형 프로세싱 섹션(804)의 길이를 따라 연장한다. 운동 메커니즘(도시되지 않음)은, 기판 캐리어 상에 배치된 기판을 제 2 선형 프로세싱 섹션(804)의 길이를 따라 이동시키는 데에 제 2 자기 모터(824)를 사용한다. 교번하는 챔버들(816, 817, 818)은 증착 영역(102)이거나 또는 처리 영역(106)일 수 있다. 부가적으로, 교번하는 챔버들(816, 817, 818) 중 임의의 챔버 또는 전체 챔버는 제 2 선형 프로세싱 섹션(804)에서 생략될 수 있다.
[0063] 커플링 섹션(806)은 제 1 선형 프로세싱 섹션(802)을 제 2 선형 프로세싱 섹션(804)에 연결한다. 기판 캐리어 상에 배치된 기판을 제 1 선형 프로세싱 섹션(802)으로부터 제 2 선형 프로세싱 섹션(804)으로 이동시키기 위해, 제 3 자기 모터(822)가 커플링 섹션(806)과 통합된다. 격리 영역(104)은 또한, 제 1 선형 프로세싱 섹션(802) 및 제 2 선형 프로세싱 섹션(804)을 분리시킬 수 있다. 제 3 자기 모터(822)는 커플링 섹션(806)을 따라 연장한다. 운동 메커니즘(도시되지 않음)은, 기판을 시스템 내에 포지셔닝하기 위해 기판 및 기판 캐리어를 커플링 섹션(806)을 따라 이동시키는 데에 자기 모터(822)를 사용한다.
[0064] 도 9a-9c는 레이스트랙형 프로세싱 시스템(900)의 평면도를 예시한다. 레이스트랙형 프로세싱 시스템(900)은 제 1 섹션(930), 제 2 섹션(932), 제 3 섹션(934), 및 제 4 섹션(936)을 포함한다. 직사각형 레이스트랙을 형성하기 위해, 제 1 섹션(930), 제 2 섹션(932), 제 3 섹션(934), 및 제 4 섹션(936)이 서로 커플링된다.
[0065] 일 실시예에서, 제 1 섹션(930)은 로드 록 스테이션(912), 격리 영역(104), 증착 영역(102), 선택적인 교번하는 챔버들(902, 904), 비아(via; 914), 선택적인 처리 영역(106), 및 제 1 자기 모터(920)를 포함한다. 격리 영역(104)은 적어도 영역들(102, 106)을 분리시킨다. 격리 영역(104)은 또한, 증착 영역(102)이 처리 영역(106)과 유체 소통(fluid communication)하는 것을 허용한다. 격리 영역(104)은 (도 2에 도시된 바와 같이) 가스 커튼이거나 또는 (도 3에 도시된 바와 같이) 슬릿 밸브일 수 있다.
[0066] 제 1 자기 모터(920)는 제 1 섹션(930)의 길이를 따라, 예를 들어, 외측(950)을 따라 연장한다. 제 1 자기 모터(920)는 기판 캐리어(402)를 제 1 섹션(930)의 길이를 따라 포지셔닝한다. 기판 캐리어(402)를 제 1 섹션(930) 내에서 이동시키기 위해, 기판 캐리어(402)에 커플링된 영구 자석(406)이 제 1 자기 모터(920)와 맞물린다(engage). 교번하는 챔버들(902, 904)은 증착 영역(102)이거나 또는 처리 영역(106)일 수 있다. 부가적으로, 교번하는 챔버들(902, 904) 중 임의의 챔버 또는 전체 챔버는 제 1 섹션(930)에서 생략될 수 있다. 비아(914)는 제 1 섹션(930)에서 (로드 록 스테이션(912)에 대해서) 마지막 섹션이다. 비아(914)는 제 2 섹션(932)과 유체 소통하고, 제 1 섹션(930)을 제 2 섹션(932)에 커플링시킨다.
[0067] 제 2 섹션(932)은 제 1 비아(914) 및 제 2 자기 모터(922)를 더 포함한다. 제 1 비아(914)는 제 1 섹션(930)을 제 3 섹션(934)에 커플링시키고, 기판 캐리어(402)가 레이스트랙형 프로세싱 시스템(900)의 제 1 섹션(930)으로부터 제 3 섹션(934)으로 이동하는 것을 허용한다. 제 2 자기 모터(922)는 제 2 섹션(932)의 길이를 따라, 예를 들어, 외측 둘레(perimeter)를 따라 연장한다. 기판 캐리어(402)는, 제 2 섹션(932)의 길이를 따라 이동하는 데에 제 2 자기 모터(922)를 사용한다. 기판 캐리어(402)를 제 2 섹션(932) 내에서 이동시키기 위해, 영구 자석(406) 및 영구 자석(926)이 제 2 자기 모터(922)와 맞물린다.
[0068] 제 3 섹션(934)은 로드 록 스테이션(916), 선택적인 증착 영역(102), 격리 영역(104), 처리 영역(106), 선택적인 교번하는 챔버(906, 908), 및 제 1 비아(914)를 포함한다. 격리 영역(104)은 영역들(102, 106)을 분리시킨다. 격리 영역(104)은 처리 영역(106)을 증착 영역(102)으로부터 분리시킨다. 격리 영역(104)은 (도 2에 도시된 바와 같이) 가스 커튼이거나 또는 (도 3에 도시된 바와 같이) 슬릿 밸브일 수 있다.
[0069] 제 3 자기 모터(924)는 제 3 섹션(934)의 길이를 따라, 예를 들어, 외측 둘레(952)를 따라 연장한다. 기판 캐리어(402)는, 제 3 섹션(934)의 길이를 따라 이동하는 데에 제 3 자기 모터(924)를 사용한다. 기판 캐리어(402)를 제 3 섹션(934) 내에서 이동시키기 위해, 제 2 영구 자석(926)이 제 3 자기 모터(924)와 맞물린다. 교번하는 챔버들(906, 908)은 증착 영역(102)이거나 또는 처리 영역(106)일 수 있다. 부가적으로, 교번하는 챔버들(906, 908) 중 임의의 챔버 또는 전체 챔버가 제 3 섹션(934)에서 생략될 수 있다.
[0070] 제 4 섹션(936)은 로드 록 스테이션들(912, 916) 및 제 2 비아(918)를 포함한다. 제 2 비아(918)는 제 1 섹션(930)을 제 3 섹션(934)에 커플링시킨다. 비아(918)는, 기판(428)이, 레이스트랙형 프로세싱 시스템(900)을 떠나거나, 또는 대안적으로, 레이스트랙형 프로세싱 시스템(900)을 통해 하나 또는 그 초과의 부가적인 횟수만큼 복귀하는 것을 허용한다.
[0071] 도 9a는, 2개의 기판 캐리어들(402a, 402e)이 챔버에 진입하는 것을 대기하고 있고, 6개의 챔버들이 기판 캐리어들(402b, 402c, 402d, 402f, 402g, 402h)을 프로세싱하고 있는 경우의 레이스트랙형 프로세싱 시스템(900)을 나타낸다. 6개의 챔버들이 프로세싱 중일 때, 격리 영역(104)은 어떠한 챔버도 다른 챔버와 유체 소통하는 것을 허용하지 않을 것이다.
[0072] 도 9b는, 6개의 챔버들이 프로세싱을 종료하고, 기판 캐리어들(402)이 하나의 스테이션을 전진하여 이동된 이후의 레이스트랙형 프로세싱 시스템(900)을 나타낸다. 일단 6개의 챔버들이 프로세싱을 종료하면, 격리 영역(104)은 각각의 챔버가 인접한 챔버와 유체 소통하는 것을 허용한다. 각각의 기판 캐리어(402)는 하나의 스테이션을 전진하여 이동할 수 있다. 기판 캐리어들(402e, 402f, 402g, 402h)은 영구 자석(926)과 제 3 자기 모터(924) 사이의 자기 커플링을 사용하는 것에 의해 이동한다. 기판 캐리어들(402a, 402b, 402c, 402d)은 영구 자석(406)과 제 1 자기 모터(920) 사이의 자기 커플링을 사용하는 것에 의해 이동한다. 부가적으로, 기판 캐리어(402d)는, 영구 자석들(406, 926) 사이의 자기 커플링을 사용하는 것에 의해, 비아(914)를 가로질러 이동할 것이다.
[0073] 도 9c에서, 펌프 시스템(120)은 레이스트랙형 프로세싱 시스템(900)을 펌핑 다운하는 것을 시작한다. 챔버들이 프로세스를 시작할 준비가 됨에 따라, 격리 영역들(104)이 일단 다시 폐쇄된다. 기판 캐리어(402h)는 로드 록 스테이션(916)을 통해 기판(428)을 언로딩할 수 있거나, 또는 기판 캐리어(402h)는 제 2 비아(918)를 통과하여, 로드 록 스테이션(912)에서, 레이스트랙형 프로세싱 시스템(900)에 한번 더 진입하는 것을 대기할 수 있다. 기판 캐리어(402e)는 제 2 자기 모터(922)와 영구 자석들(406, 926) 사이의 자기 커플링을 사용하여, 제 2 섹션(932)을 가로질러 이동한다. 도 9a-9c에 도시된 프로세스는 사용자에 의해 요구되는 바에 따라 여러 횟수만큼 반복한다.
[0074] 도 10은 프로세싱 시스템(100)의 다른 실시예의 평면도를 예시한다. 도 10에 도시된 레이아웃은 캐러셀형 프로세싱 시스템(1000)이다. 캐러셀형 프로세싱 시스템(1000)은 로드 록 스테이션(1010) 및 캐러셀 프로세싱 섹션(1014)을 포함한다.
[0075] 로드 록 스테이션(1010)은 기판(도시되지 않음)이 캐러셀 프로세싱 섹션(1014)에 진입하는 것을 허용한다. 일단 기판이 프로세싱을 종료하면, 기판은 동일한 로드 록 스테이션(1010)을 통해 캐러셀 프로세싱 섹션(1014)을 떠난다.
[0076] 캐러셀 프로세싱 섹션(1014)은 증착 영역(102), 격리 영역(104), 처리 영역(106), 선택적인 교번하는 챔버들(1004, 1006, 1008), 및 로봇(1012)을 더 포함한다. 격리 영역(104)은 적어도 영역들(102, 106)을 분리시킨다. 로봇(1012)은 캐러셀 스테이션들(1016) 사이에서 기판을 이동시킨다. 교번하는 챔버들(1002, 1004, 1006)은 증착 영역(102)이거나, 또는 처리 영역(106)일 수 있다. 부가적으로, 교번하는 챔버들(1002, 1004, 1006) 중 임의의 챔버 또는 전체 챔버가 캐러셀 프로세싱 섹션(1014)에서 생략될 수 있다.
[0077] 도 11a는 반도체 텐덤형 프로세싱 시스템(1100)의 평면도를 예시한다. 시스템(1100)은 일반적으로, 쉽게 설치될 수 있고 그리고 동작을 위한 신속한 시동(start up)을 제공할 수 있는, 메인프레임 구조(1101) 상에 지지되는 필수적인 프로세싱 유틸리티들을 갖는 자립식(self-contained) 시스템이다. 시스템(1100)은 일반적으로, 4개의 상이한 영역들: 전단(front-end) 스테이징 지역(1102), 로드 록 스테이션(1108), 및 격리 밸브들(1110)을 통해 복수의 프로세싱 스테이션들(1106)과 소통하는 이송 챔버(1104)를 포함한다. 프로세싱 스테이션들(1106)은 단일 기판, 텐덤형 기판, 또는 다른 다수-기판 프로세싱 영역일 수 있다. 텐덤형 기판 프로세싱 스테이션(1106)이 도 11a에 도시된다. 일반적으로 팩토리 인터페이스로서 공지되는 전단 스테이징 지역(1102)은 일반적으로, 예를 들어, 포드 로더(pod loader)를 통해 전단 스테이징 지역(1102)과 소통하도록 포지셔닝된, 적어도 하나의 기판 포함 카세트(1109)를 갖는 엔클로져를 포함한다. 시스템(1100)은 또한, 전단 스테이징 지역(1102)과 로드 록 스테이션(1108) 사이에서 기판들을 이동시키도록 구성된, 일반적으로 단일-아암 로봇들일 수 있는 한 쌍의 전단 기판 이송 로봇들(1113)을 포함할 수 있다. 한 쌍의 전단 기판 로봇들(1113)은 일반적으로, 카세트들(1109)에 근접하여 포지셔닝되고, 프로세싱을 위해 기판들을 제거할뿐만 아니라, 기판들의 프로세싱이 일단 완료되면 카세트에 기판들을 포지셔닝하도록 구성된다. 2개의 카세트들(1109)이 도시되었지만, 본 발명의 실시예는 적층 가능한(stackable) 기판 카세트 피더 조립체(도시되지 않음)를 사용하는 것을 고려한다. 적층 가능한 기판 카세트 피더 조립체는 복수의 카세트들(1109)을 수직 스택으로 저장하도록, 그리고 필요할 때 카세트들(1109)을 외측 카세트 위치들/포드 로더들로 개별적으로 전달하도록 구성될 수 있다. 전단 스테이징 지역(1102)은 로드 록 스테이션(1108)과 선택적으로 소통하여, 예를 들어, 선택적으로 액츄에이팅되는 슬릿 밸브(도시되지 않음)를 통해 기판들의 이송을 허용한다. 부가적으로, 로드 록 스테이션(1108)은 또한, 예를 들어, 다른 선택적으로 액츄에이팅되는 슬릿 밸브를 통해, 이송 챔버(1104)와 선택적으로 소통할 수 있다. 로드 록 스테이션(1108)은, 프로세싱을 위해 하나 또는 그 초과의 기판들을 이송 챔버(1104) 내로 이송하는 프로세싱 동안에, 기판 이송 챔버(1104)의 내부를 전단 스테이징 지역(1102)의 내부로부터 격리시킨다. 로드 록 스테이션(1108)은, 예를 들어, 당업계에서 일반적으로 공지된 바와 같이, 사이드-바이-사이드(side-by-side) 기판 유형 챔버, 단일 기판 유형 챔버, 또는 다수-기판-유형 로드 록 챔버일 수 있다.
[0078] 도 11a에 예시된 바와 같이, 기판 이송 로봇(1105)은 이송 챔버(1104)의 내부 부분에 중앙에 포지셔닝될 수 있다. 기판 이송 로봇(1105)은 일반적으로, 기판들을 로드 록 스테이션(1108)으로부터 회수하고, 기판들을, 이송 챔버(1104)의 둘레 주위에 포지셔닝된 프로세싱 스테이션들(1106) 중 하나에 운반하도록 구성된다. 부가적으로, 기판 이송 로봇(1105)은 일반적으로, 각각의 텐덤형 프로세싱 스테이션들(1106) 사이에서뿐만 아니라, 다른 프로세싱 스테이션들(1106)로부터, 그리고 다시 로드 록 스테이션(1108)으로 기판들을 운송하도록 구성된다. 기판 이송 로봇(1105)은 일반적으로, 2개의 기판들을 동시에 상부에 지지하도록 구성된 단일의 이중-블레이드를 포함한다. 블레이드는, 기판들을 상부에 유지하기 위해 일반적으로 단일 평면에 정렬된 2개의 지지 표면들을 포함할 수 있다. 부가적으로, 베이스가 회전 가능하면서, 기판 이송 로봇(1105)의 블레이드는 선택적으로 확장 가능하고, 이는, 프로세싱 스테이션(1106), 로드 록 스테이션(1108), 및/또는 이송 챔버(1104)의 둘레 주위에 포지셔닝된 임의의 다른 스테이션 중 임의의 스테이션의 내부 부분에 대한 블레이드 액세스를 허용한다.
[0079] 도 11b는 복수의 기판들을 동시에 프로세싱하도록 구성된 프로세싱 스테이션(1106)의 일 실시예의 확대도이다. 도 11b에 도시된 실시예에서, 프로세싱 스테이션(1106)은 4개의 프로세싱 스테이션(1120)으로서 예시된다. 4개의 프로세싱 스테이션(1120)은 4개의 챔버들(1124)을 포함한다. 챔버들(1124)은, 예를 들어, 하나 또는 그 초과의 증착 영역들(102) 및/또는 하나 또는 그 초과의 처리 영역들(106)을 포함할 수 있다. 각각의 챔버(1124)는 격리 영역(104)에 의해 인접한 챔버(1124)로부터 분리된다. 격리 영역(104)은 앞서 설명된 바와 같은 슬릿 밸브이거나 또는 가스 커튼일 수 있다. 격리 영역(104)은 운동 메커니즘(1126)을 더 포함한다. 운동 메커니즘(1126)은, 예를 들어, 증착 영역(102)으로부터 처리 영역(106)으로와 같이, 챔버들(1124) 사이에서 기판을 이동시킨다. 운동 메커니즘(1126)은 자기적으로 공중 부양된(magnetically levitated) 운동 메커니즘일 수 있고, 블레이드(1128), 퍽(puck)(도시되지 않음), 및 자기 코일(도시되지 않음)을 포함한다. 자기 코일은 격리 영역(104)에 포지셔닝된다. 블레이드(1128)는, 공중 부양 퍽(levitating puck)에 부착되는데, 그러한 퍽은 자기 코일에 의해 공중 부양된다. 운동 메커니즘(1126)은 챔버들(1124) 중 각각의 챔버에 배치된 기판 지지부들 사이에서 기판을 이동시키도록 구성된다. 대안적으로, 운동 메커니즘(1126) 그 이상의 것이 존재할 수 있는데, 이로써, 하나의 블레이드(1128)는 기판을, 다른 블레이드(1128)로, 또는 지정된 쌍들의 기판 지지부들 사이에서 넘길(pass) 수 있다. 4개의 프로세싱 스테이션(1106)은 4개 초과의 프로세싱 챔버들을 포함하도록 확장될 수 있다.
[0080] 다시 도 11a를 참조하면, 이중 프로세싱 스테이션(1122)은 증착 영역(102), 격리 영역(104), 및 처리 영역(106)을 포함한다. 격리 영역(104)은 증착 영역(102)을 처리 영역(106)으로부터 분리시킨다. 격리 영역(104)은, 상기 설명된 바와 같이, 운동 메커니즘(1126)을 더 포함하고, 증착 영역(102)과 처리 영역(106) 사이에서 기판을 이동시키도록 동작 가능하다.

Claims (15)

  1. 프로세싱 시스템으로서,
    증착 챔버 ― 상기 증착 챔버는 필름을 기판 상에 증착시키도록 구성됨 ―;
    처리 챔버 ― 상기 처리 챔버는 기판들을 상기 증착 챔버로부터 수용하고 기판들을 상기 증착 챔버로부터 멀어지게 통과시키도록 배열되고, 상기 처리 챔버는,
    상기 증착 챔버에서 증착된 필름의 특성을 변경(alter)하기 위해, 상기 처리 챔버에 배치된 기판을 처리하도록 동작 가능한 필름 특성 변경 디바이스를 더 포함함 ―; 및
    적어도 하나의 격리 영역을 포함하며, 상기 격리 영역은 상기 증착 챔버를 상기 처리 챔버로부터 분리시키도록 구성되는,
    프로세싱 시스템.
  2. 제 1 항에 있어서,
    상기 프로세싱 시스템은,
    상기 증착 챔버로부터 상기 처리 챔버로 상기 기판을 이동시키도록 구성된 운동 메커니즘을 더 포함하는,
    프로세싱 시스템.
  3. 제 2 항에 있어서,
    상기 증착 챔버는, 화학 기상 증착(CVD) 챔버, 스핀-온 코팅 챔버, 유동 가능한(CVD) 챔버, 물리 기상 증착(PVD) 챔버, 원자 층 증착(ALD) 챔버, 및 에피텍셜 증착 챔버로 구성된 그룹으로부터 선택되는,
    프로세싱 시스템.
  4. 제 3 항에 있어서,
    상기 처리 챔버는, 열 처리 챔버, 어닐링 챔버, 급속 열 어닐링 챔버, 레이저 처리 챔버, 전자 빔 처리 챔버, UV 처리 챔버, 이온 빔 주입 챔버, 및 이온 침지(ion immersion) 주입 챔버로 구성된 그룹으로부터 선택되는,
    프로세싱 시스템.
  5. 제 4 항에 있어서,
    상기 격리 영역은 가스 커튼을 포함하는,
    프로세싱 시스템.
  6. 제 4 항에 있어서,
    상기 격리 영역은 슬릿 밸브를 포함하는,
    프로세싱 시스템.
  7. 제 4 항에 있어서,
    로드 록 스테이션을 더 포함하며, 상기 증착 챔버는 상기 로드 록 스테이션과 상기 처리 챔버 사이에 배치되는,
    프로세싱 시스템.
  8. 제 1 항에 있어서,
    상기 증착 챔버 하류의 제 2 처리 챔버를 더 포함하는,
    프로세싱 시스템.
  9. 제 1 항에 있어서,
    상기 처리 챔버의 상류의 제 2 증착 챔버를 더 포함하는,
    프로세싱 시스템.
  10. 기판을 프로세싱 시스템에서 프로세싱하기 위한 방법으로서,
    기판을 제 1 증착 챔버 내로 이송하는 단계;
    상기 기판이 상기 제 1 증착 챔버에 있는 동안, 필름을 상기 기판 상에 증착시키는 단계;
    상기 증착 챔버를 제 1 처리 챔버로부터 분리시키는 제 1 격리 영역을 통해 상기 기판을 이송하는 단계; 및
    증착된 상기 필름의 특성을 상기 제 1 처리 챔버에서 변경하는 단계를 포함하는,
    기판을 프로세싱 시스템에서 프로세싱하기 위한 방법.
  11. 프로세싱 시스템으로서,
    필름을 기판 상에 증착시키도록 구성된 증착 챔버 ― 상기 증착 챔버는, 프로세싱을 위해 상기 증착 챔버의 내부 용적에서 상기 기판을 지지하도록 구성된 기판 지지부를 더 포함함 ―;
    처리 챔버 ― 상기 처리 챔버는 상기 증착 챔버와 인-라인(in-line)이고, 상기 처리 챔버는,
    프로세싱을 위해 상기 처리 챔버의 내부 용적에서 기판을 지지하도록 구성된 기판 지지부; 및
    상기 증착 챔버에서 상기 기판 상에 증착된 필름의 특성을 변경하기 위해, 상기 처리 챔버에 배치된 상기 기판을 처리하도록 동작 가능한 필름 특성 변경 디바이스를 더 포함함 ―;
    적어도 하나의 격리 영역 ― 상기 격리 영역은 상기 증착 챔버를 상기 처리 챔버로부터 분리시키도록 구성됨 ―; 및
    상기 증착 챔버로부터, 상기 격리 영역을 통해, 상기 처리 챔버 내로 기판을 이송하도록 구성된 이송 메커니즘을 포함하고,
    상기 필름 특성 변경 디바이스는 상기 처리 챔버의 내부 용적에서, 상기 내부 용적의 상기 기판 지지부의 정상부 표면에 평행하게 그리고 정상부 표면 위에 배치되며,
    상기 증착 챔버, 처리 챔버, 격리 영역, 및 이송 메커니즘은 진공 밀봉(vacuum tight) 프로세싱 시스템에 상주하는,
    프로세싱 시스템.
  12. 제 11 항에 있어서,
    상기 증착 챔버는, 화학 기상 증착(CVD) 챔버, 스핀-온 코팅 챔버, 유동 가능한(CVD) 챔버, 물리 기상 증착(PVD) 챔버, 원자 층 증착(ALD) 챔버, 및 에피텍셜 증착 챔버로 구성된 그룹으로부터 선택되는,
    프로세싱 시스템.
  13. 제 12 항에 있어서,
    상기 처리 챔버는, 열 처리 챔버, 어닐링 챔버, 급속 열 어닐링 챔버, 레이저 처리 챔버, 전자 빔 처리 챔버, UV 처리 챔버, 이온 빔 주입 챔버, 및 이온 침지 주입 챔버로 구성된 그룹으로부터 선택되는,
    프로세싱 시스템.
  14. 제 11 항에 있어서,
    상기 증착 챔버 하류의 제 2 처리 챔버를 더 포함하는,
    프로세싱 시스템.
  15. 제 11 항에 있어서,
    상기 처리 챔버의 상류의 제 2 증착 챔버를 더 포함하는,
    프로세싱 시스템.
KR1020150155113A 2014-11-06 2015-11-05 증착 챔버를 처리 챔버로부터 분리시키는 격리 영역을 포함하는 프로세싱 시스템 KR102258593B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201462076292P 2014-11-06 2014-11-06
US62/076,292 2014-11-06
US14/589,990 US10236197B2 (en) 2014-11-06 2015-01-05 Processing system containing an isolation region separating a deposition chamber from a treatment chamber
US14/589,990 2015-01-05

Publications (2)

Publication Number Publication Date
KR20160054420A true KR20160054420A (ko) 2016-05-16
KR102258593B1 KR102258593B1 (ko) 2021-05-28

Family

ID=55912812

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150155113A KR102258593B1 (ko) 2014-11-06 2015-11-05 증착 챔버를 처리 챔버로부터 분리시키는 격리 영역을 포함하는 프로세싱 시스템

Country Status (5)

Country Link
US (1) US10236197B2 (ko)
JP (1) JP2016092426A (ko)
KR (1) KR102258593B1 (ko)
CN (2) CN107658249B (ko)
TW (1) TWI687532B (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI677046B (zh) 2015-04-23 2019-11-11 美商應用材料股份有限公司 半導體處理系統中的外部基板材旋轉
US20170352562A1 (en) * 2016-06-02 2017-12-07 Applied Materials, Inc. Dodecadon transfer chamber and processing system having the same
CN107587189A (zh) * 2017-09-26 2018-01-16 中国科学院长春光学精密机械与物理研究所 一种集成热处理工艺的多腔室氮化物材料外延系统
CN112840447A (zh) 2018-10-04 2021-05-25 应用材料公司 运输系统
CN111621755A (zh) * 2019-02-28 2020-09-04 广东汉能薄膜太阳能有限公司 气幕隔离装置及气幕隔离腔
US11521870B2 (en) 2020-07-08 2022-12-06 Applied Materials, Inc. Annealing chamber
CN112813422B (zh) * 2020-12-30 2022-02-15 无锡邑文电子科技有限公司 一种基于腔体互联的沉积方法和沉积设备

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960019503A (ko) * 1994-11-30 1996-06-17 제임스 조셉 드롱 화학증착법을 이용한 가공 챔버
US20030219977A1 (en) * 2002-05-21 2003-11-27 Christophe Pomarede Reduced cross-contamination between chambers in a semiconductor processing tool
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US20100062592A1 (en) * 2008-09-09 2010-03-11 Tokyo Electron Limited Method for forming gate spacers for semiconductor devices
US20100136773A1 (en) * 2005-08-10 2010-06-03 Naonori Akae Semiconductor Device Manufacturing Method and Substrate Processing Apparatus
US20130171757A1 (en) * 2012-01-03 2013-07-04 Hari K. Ponnekanti Advanced platform for passivating crystalline silicon solar cells

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO1999017356A1 (en) * 1997-09-30 1999-04-08 Semitool, Inc. Semiconductor processing apparatus having linear conveyor system
US20040058293A1 (en) 2002-08-06 2004-03-25 Tue Nguyen Assembly line processing system
CN100561666C (zh) * 2005-08-05 2009-11-18 中微半导体设备(上海)有限公司 半导体工艺处理系统及其处理方法
US20110097878A1 (en) * 2009-10-28 2011-04-28 Applied Materials, Inc. Chamber for pecvd
US8173477B2 (en) * 2010-02-03 2012-05-08 Xunlight Corporation Isolation chamber and method of using the isolation chamber to make solar cell material

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR960019503A (ko) * 1994-11-30 1996-06-17 제임스 조셉 드롱 화학증착법을 이용한 가공 챔버
US20030219977A1 (en) * 2002-05-21 2003-11-27 Christophe Pomarede Reduced cross-contamination between chambers in a semiconductor processing tool
US20060102078A1 (en) * 2004-11-18 2006-05-18 Intevac Inc. Wafer fab
US20100136773A1 (en) * 2005-08-10 2010-06-03 Naonori Akae Semiconductor Device Manufacturing Method and Substrate Processing Apparatus
US20100062592A1 (en) * 2008-09-09 2010-03-11 Tokyo Electron Limited Method for forming gate spacers for semiconductor devices
US20130171757A1 (en) * 2012-01-03 2013-07-04 Hari K. Ponnekanti Advanced platform for passivating crystalline silicon solar cells

Also Published As

Publication number Publication date
CN107658249B (zh) 2022-03-04
KR102258593B1 (ko) 2021-05-28
CN107658249A (zh) 2018-02-02
CN105590881B (zh) 2020-08-21
JP2016092426A (ja) 2016-05-23
TWI687532B (zh) 2020-03-11
US10236197B2 (en) 2019-03-19
TW201629252A (zh) 2016-08-16
US20160133489A1 (en) 2016-05-12
CN105590881A (zh) 2016-05-18

Similar Documents

Publication Publication Date Title
KR102258593B1 (ko) 증착 챔버를 처리 챔버로부터 분리시키는 격리 영역을 포함하는 프로세싱 시스템
US10752987B2 (en) System architecture for combined static and pass-by processing
US9524896B2 (en) Apparatus and methods for transporting and processing substrates
JP4389424B2 (ja) 被処理体の搬送機構及び処理システム
US8419341B2 (en) Linear vacuum robot with Z motion and articulated arm
JP2007036284A (ja) 半導体装置素子の製造方法
US8992153B2 (en) System and method for substrate transport
EP1535143A1 (en) Automated material handling system for semiconductor manufacturing based on a combination of vertical carousels and overhead hoists
TW200935546A (en) Loadlock designs and methods for using same
KR20090097182A (ko) 고 생산성 직렬 웨이퍼 처리 엔드 스테이션
US11380564B2 (en) Processing system having a front opening unified pod (FOUP) load lock
JP2018174186A (ja) 基板処理装置
KR20220100957A (ko) 기판 반송 장치 및 기판 처리 시스템
JP5388279B2 (ja) 基板搬送処理装置及び方法
US20200194289A1 (en) Hybrid system architecture for thin film deposition
KR101718540B1 (ko) 기판을 이송 및 처리하는 장치 및 방법
US20240153801A1 (en) Semiconductor process equipment
EP2187433B1 (en) Apparatus and method for transporting and processing substrates
KR20220136167A (ko) 기판을 반송하는 장치, 기판을 처리하는 시스템 및 기판의 반송을 행하는 방법

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant