JP5312036B2 - プラズマ原子層堆積を実行する方法及びシステム - Google Patents

プラズマ原子層堆積を実行する方法及びシステム Download PDF

Info

Publication number
JP5312036B2
JP5312036B2 JP2008541231A JP2008541231A JP5312036B2 JP 5312036 B2 JP5312036 B2 JP 5312036B2 JP 2008541231 A JP2008541231 A JP 2008541231A JP 2008541231 A JP2008541231 A JP 2008541231A JP 5312036 B2 JP5312036 B2 JP 5312036B2
Authority
JP
Japan
Prior art keywords
substrate
processing space
film
plasma
thin film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008541231A
Other languages
English (en)
Other versions
JP2009521594A (ja
Inventor
ファギュー,ジャック
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of JP2009521594A publication Critical patent/JP2009521594A/ja
Application granted granted Critical
Publication of JP5312036B2 publication Critical patent/JP5312036B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma

Description

本発明は堆積システム及びその作動方法に関し、より具体的には、原子層堆積用の堆積システムに関する。
一般的に、材料処理においては、複合的な材料構造を製造するとき、材料膜の付加及び除去を促進するためにプラズマが用いられる。例えば、半導体処理において、シリコン基板上にパターニングされた微細なラインに沿って、あるいはシリコン基板上にパターニングされたビア又はコンタクト内で、材料を除去あるいはエッチングするために、しばしば、ドライプラズマエッチングプロセスが用いられる。他の例では、シリコン基板上の微細なラインに沿って、あるいはシリコン基板上のビア又はコンタクト内に、材料を堆積するために気相堆積プロセスが用いられる。後者において、気相堆積プロセスは化学的気相成長(CVD)法、及びプラズマ化学気相成長法(plasma enhanced CVD;PECVD)法を含む。
PECVD法において、プラズマは膜の堆積機構を変えたり強化したりするために使用される。例えば、プラズマ励起は一般に、プロセスガスを該プロセスガスの解離温度付近又はそれより高い温度まで(プラズマ励起を用いることなく)熱的に加熱する熱的CVD法によって同様の膜を作り出すのに典型的に必要とされる温度より、かなり低い温度で膜形成反応が進行することを可能にする。また、プラズマ励起は、熱的CVDにおいてエネルギー的あるいは動力学的に有利でない膜形成化学反応を活性化する。PECVD膜の化学的及び物理的な特性は、故に、プロセスパラメータを調整することによって比較的広い範囲で変えられ得る。
より最近になり、原子層堆積(ALD)法及びプラズマALD(plasma enhanced ALD;PEALD)法が、FEOL(front end-of-line)処理における極めて薄いゲート膜の形成、並びに、BEOL(back end-of-line)処理におけるメタライゼーション用の極めて薄いバリア層及びシード層の形成の候補として浮上してきた。ALD法においては、基板が加熱されている間に、例えば薄膜前駆体及び還元(reduction)ガスなどの2以上のプロセスガスが、一度に材料膜の1つのモノレイヤーを形成するように交互且つ連続的に導入される。PEALD法においては、還元プラズマを形成するように、還元ガスの導入中にプラズマが形成される。これまでに、ALD法及びPEALD法は、同等のCVD及びPECVDより時間がかかるが、層の厚さの均一性、及び層が堆積される下地構造への共形性(conformality)を改善することがわかっている。
本発明は、ラインサイズが更に縮小され、共形性、接着性及び純度がますます、得られる半導体デバイスに影響を及ぼす重要な問題となる場合に、半導体処理に伴う様々な問題を解決することを1つの目的とする。
本発明はまた、続けて堆積される材料層の界面間での汚染問題を軽減することを他の1つの目的とする。
本発明は更に、同一システム内で原子層堆積とプラズマ促進還元とを両立する構成を提供することを他の1つの目的とする。
本発明の上記課題及び/又はその他の課題は、本発明の一定の実施形態によって達成される。
本発明の一実施形態に従って、基板を処理する方法が提供される。この方法は、基板の上方に処理空間を画成する気相堆積システム内に基板を配置する段階、処理空間に気体状の薄膜前駆体を導入する段階、処理空間の容積を第1の大きさから第2の大きさまで拡大して拡大処理空間を形成する段階、拡大処理空間に還元ガスを導入する段階、及び還元ガスから還元プラズマを形成する段階を含む。
本発明の他の一実施形態に従って、基板上に薄膜を気相堆積するシステムが提供される。このシステムは、第1の容積を有する第1の処理空間を含む処理チャンバーを有する。処理チャンバーは更に、第1の処理空間を含み且つ第1の容積より大きい第2の容積を有する第2の処理空間を含む。第1の処理空間は薄膜前駆体の吸着用に構成され、第2の処理空間は、第1の処理空間で吸着された薄膜前駆体のプラズマ還元用に構成される。
本発明のより完全な理解及び本発明に付随する数多くの効果は、添付図面により容易に得られ、また、以下の詳細な説明を添付図面と関連付けて参照することにより更によく理解される。
以下の説明においては、本発明の完全な理解を容易にするため、限定目的ではなく説明目的で、例えば特定の堆積システムの幾何学構成及び様々な部品の説明などの具体的詳細事項が説明される。しかしながら、理解されるべきことには、本発明はこれらの具体的詳細事項を逸脱した他の実施形態においても実施され得るものである。
以下では図面を参照するが、複数の図面を通して、似通った参照符号は相等しい、あるいは対応する部分を指し示す。図1は、基板上に、例えばプラズマ原子層堆積(PEALD)プロセスを用いて、例えばバリア膜などの薄膜を堆積するための堆積システム1を例示している。BEOL(back end-of-line)処理における半導体デバイスの相互接続構造及び内部接続構造のメタライゼーションにおいては、層間又は層内の誘電体への金属のマイグレーションを最小化するように配線用トレンチ又はビアに薄い共形のバリア層が堆積され、バルク状の金属充填物に対して許容可能な接着性を有する膜をもたらすように配線用トレンチ又はビアに薄い共形のシード層が堆積され、且つ/或いは、金属シード堆積物に対して許容可能な接着性を有する膜をもたらすように配線用トレンチ又はビアに薄い共形の接着層が堆積される。これらのプロセスに加え、配線用トレンチ又はビアの内部には、例えば銅などのバルク金属が堆積されなければならない。
これらのプロセスは従来、一般的に、これらのプロセスの各々に特有の要求にカスタマイズされた別々のチャンバーを必要としていた。単一のチャンバーではプロセス要求の全てに対応することができなかったためである。例えば、薄膜のバリア層は、許容可能な共形性を実現するように自己制限的(self-limited)ALDプロセスにて形成されることが好ましい。ALDは相異なるプロセスガスを交互に必要とするので、堆積は比較的低い堆積速度で行われる。本発明の発明者により、小さい容積の処理空間内で熱的ALDプロセスを行うことは、交互にされるガスの迅速な注入及び排出を可能にし、ALDサイクルを短縮させることが認識された。しかしながら、プラズマALDを実行するとき、小容積の処理空間は、基板と上部アセンブリとの間の間隔が小さくなるため、プラズマの均一性に不利である。本発明の発明者により、PEALDプロセスのプラズマ支援還元工程においては均一なプラズマを維持するために、より大きい容積の処理空間が必要であるが、PEALDプロセスの非プラズマ工程は小容積の処理空間の恩恵を受け、スループットを高め、且つ/或いはプロセスガスを保存し得ることが認識された。
図1において、本発明の一実施形態に従った堆積システム1は処理チャンバー10を含み、処理チャンバー10は、薄膜が形成されるべき基板25を支持するように構成された基板ステージ20を有する。また、図1に例示された堆積システム1は、処理チャンバー10及び基板ステージ20に結合された処理容積調整システム80を含んでいる。処理容積調整システム80は、基板25に隣接する処理空間の容積を調整するように構成されている。例えば、処理容積調整システム80は、第1の容積の第1の処理空間85を作り出す第1位置(図1参照)と、第2の容積の第2の処理空間85’を作り出す第2位置(図2参照)との間で、基板ステージ20を鉛直方向に平行移動させるように構成され得る。
図1及び2に示されるように、堆積システム1は、基板25の温度を上昇させ且つ制御するように構成された、基板ステージ20に結合された温度制御システム60を含み得る。基板温度制御システム60は、例えば再循環冷却材流を含む冷却システムなどの温度制御手段を含み得る。この冷却材流は、基板ステージ20から熱を受け取って熱交換器システム(図示せず)まで熱伝達し、あるいは加熱時に、熱交換器システムから熱を伝達する。また、温度制御手段は、例えば抵抗加熱素子などの加熱/冷却素子を含み得る。あるいは、熱電加熱器/冷却器が基板ステージ20と、処理チャンバー10のチャンバー壁や堆積システム1内のその他の部品とに含められてもよい。
基板25と基板ステージ20との間での熱の移動を改善するよう、基板ステージ20は、基板ステージ20の上表面に基板25を貼り付けるために、機械的クランプシステム、又は例えば静電クランプシステム等の電気的クランプシステムを含み得る。また、基板ステージ20は更に、基板25と基板ステージ20との間のガスギャップの熱伝導率を改善するために、基板25の裏面側にガスを導き入れるように構成された基板裏面側ガス配給システムを有していてもよい。このようなシステムは、上昇温度又は下降温度での基板の温度制御が要求されるときに利用され得る。例えば、基板裏面側ガスシステムは、基板25の中心部と端部との間でヘリウムガスギャップ圧力を独立に変化させられ得る2区画のガス分配システムを含んでいてもよい。
基板ステージ20は、該基板ステージを平行移動させる真空内(in vacuo)機構及び基板温度制御システム60用の内部機構とともに、処理チャンバー10の下部チャンバーアセンブリを構成する。
処理チャンバー10は更に、第1のプロセス材料ガス供給システム40と第2のプロセス材料ガス供給システム42とパージガス供給システム44とに結合された上部チャンバーアセンブリ30を含み得る。従って、上部チャンバーアセンブリ30は、第1のプロセス材料ガス供給システム40及び第2のプロセス材料ガス供給システム42を介して、それぞれ、気体状の薄膜前駆体及び還元ガスを処理空間85及び85’に供給し得る。第1及び第2のプロセスガス材料を処理空間85に均一に分配するために、技術的に既知のシャワーヘッド設計が用いられ得る。典型的なシャワーヘッドは、米国特許出願公開第2004/0123803号明細書に詳細に記載されている。なお、この文献の内容全体は参照することによりここに組み込まれる。
堆積システム1は、200mm基板、300mm基板、又は更に大きい基板を処理するように構成され得る。実際には、当業者に認識されるように、本出願にて開示される堆積システムは、基板、ウェハ又はLCDを、それらの大きさに拘わらず、処理するように構成されてもよい。基板は処理チャンバー10に導入され、基板昇降システム(図示せず)によって基板ステージ20の上表面へ、あるいはそこから昇降される。
本発明の一実施形態によれば、第1のプロセス材料ガス供給システム40及び第2のプロセス材料ガス供給システム42は処理チャンバー10に、気体状の薄膜前駆体(すなわち、第1のプロセスガス材料)と還元ガス(すなわち、第2のプロセスガス材料)とを交互に導入するように構成される。第1のプロセスガス材料の導入と第2のプロセスガス材料の導入とを交互に行うことは、周期的であってもよいし、非周期的に、第1のプロセスガス材料の導入と第2のプロセスガス材料の導入との間で可変の時間を有してもよい。気体状の薄膜前駆体としての第1のプロセスガス材料は、基板25上に形成される膜内に見出される主たる原子又は分子の種を含む組成を有する。薄膜前駆体は固相、液相又は気相を起源とし、処理チャンバー10に気相にて配給される。第2のプロセスガス材料は、例えば、還元ガスを含み得る。還元ガスは固相、液相又は気相を起源とし、処理チャンバー10に気相にて配給される。気体状の薄膜前駆体及び還元ガスの例については後述する。
第1の材料供給システム40、第2の材料供給システム42、及びパージガス供給システム44は、1つ以上の材料源、1つ以上の圧力制御装置、1つ以上の流量制御装置、1つ以上のフィルタ、1つ以上のバルブ、又は1つ以上の流量センサーを含み得る。流量制御装置は、空気駆動バルブ、電気機械(ソレノイド)バルブ、及び/又は高速パルス式ガス注入バルブを含み得る。典型的なパルス式ガス注入システムは、米国特許出願公開第2004/0123803号明細書に詳細に記載されている。なお、この文献の内容全体は参照することによりここに組み込まれる。
なおも図1を参照するに、本発明の一実施形態に係る堆積システム1は、処理チャンバー10への第1のプロセスガス材料及び第2のプロセスガス材料の交互の導入の少なくとも一部の間にプラズマを生成するように構成されたプラズマ生成システムを含み得る。プラズマ生成システムは、処理チャンバー10に結合された第1の電源50を含み、第1の電源50は、第1のプロセスガス材料若しくは第2のプロセスガス材料、又はこれらの双方に電力を結合させるように構成されている。第1の電源50は、無線周波数(RF)発生器及びインピーダンス整合回路(図示せず)を含み、さらに、処理チャンバー10内でプラズマにRF電力を結合させるための電極(図示せず)を含み得る。この電極は上部アセンブリ30内に形成されることが可能であり、基板ステージ20に対向するように構成され得る。
インピーダンス整合回路は、上記の電極及びプラズマを含む処理チャンバーの入力インピーダンスに整合回路の出力インピーダンスを整合させることによって、RF発生器からプラズマへのRF電力の移送を最適化するように構成され得る。例えば、インピーダンス整合回路は、反射される電力を低減することによって、プラズマ処理チャンバー10内のプラズマへのRF電力の移送を改善するよう作用する。整合回路トポロジー(例えば、L型、π型、T型など)及び自動制御方法は当業者に周知である。RF電力の典型的な周波数は約0.1MHzから約100MHzの範囲内とし得る。他の例では、RF周波数は約400kHzから約60MHzの範囲内とし得る。更なる例として、RF周波数は約13.56MHz又は27.12MHzとし得る。
本発明の一実施形態に係る堆積システム1は、処理チャンバー10への第1のプロセスガス材料及び第2のプロセスガス材料の交互且つ周期的な導入の少なくとも一部の間にプラズマを生成するように構成された基板バイアス生成システムを含み得る。基板バイアスシステムは、基板25に電力を結合させるように構成された、処理チャンバー10に結合された第2の電源52を含む。第2の電源52は、無線周波数(RF)発生器及びインピーダンス整合回路を含み、さらに、基板25にRF電力を結合させるための電極を含み得る。この電極は基板ステージ20内に形成されることが可能である。例えば、基板ステージ20はDC電圧で、あるいは、RF発生器(図示せず)からインピーダンス整合回路(図示せず)を介して基板ステージ20へのRF電力の伝送によって或るRF電圧で、電気的にバイアスされることが可能である。RFバイアスの典型的な周波数は約0.1MHzから約100MHzの範囲内とし得る。プラズマ処理用のRFバイアスシステムは当業者に周知である。他の例では、RF電力は複数の周波数で基板ステージ電極に印加され得る。他の例では、RF周波数は約400kHzから約60MHzの範囲内とし得る。更なる例として、RF周波数は約13.56MHz又は27.12MHzとし得る。基板バイアス生成システムは、プラズマ生成システムと異なる周波数で動作してもよいし、同一の周波数で動作してもよい。
図1においてはプラズマ生成システム及び基板バイアスシステムは別個のものとして示されているが、これらのシステムは基板ステージ20に結合された1つ以上の1つ又は複数の電源を含んでいてもよい。
さらに、処理チャンバー10はダクト38を介して圧力制御システム32に結合されている。圧力制御システム32は、例えば、真空ポンプシステム34及びバルブ36を含んでいる。圧力制御システム32は、基板25上に薄膜を形成するのに適し且つ第1及び第2のプロセス材料の使用に適した圧力まで、処理チャンバー10を制御可能に排気するように構成されている。
真空ポンプシステム34は、最大で毎秒約5000リットル(以上)の速度でポンプ可能なターボ分子真空ポンプ(TMP)を含むことができ、バルブ36は、チャンバー圧力を絞るための仕切り弁を含み得る。ドライプラズマエッチングで使用される従来からのプラズマ処理装置においては、毎秒1000から3000リットルのTMPが一般的に使用される。また、チャンバー圧力を監視する装置(図示せず)が処理チャンバー10に結合され得る。この圧力測定装置は、例えば、MKSインスツルメント社から市販されている628B型バラトロン(Baratron)絶対キャパシタンス式圧力計とし得る。
続いて図3及び4を参照するに、プラズマ原子層堆積(PEALD)法を用いて基板上に例えばバリア膜などの薄膜を堆積するための、本発明の他の一実施形態に従った堆積システム1’が例示されている。堆積システム1’は、図1及び2に例示された堆積システム1と同一の要素を数多く含んでおり、似通った要素は似通った参照符号で示されている。堆積システム1’は更に、図3の処理空間85又は図4の処理空間85’の周辺端部を囲むシールド24を含んでいる。基板ステージ20は更に、該基板ステージ20が上方に移動されて処理空間85’を形成するときにシールド24と結合するように構成された外側突出部22を含んでいる。例えば、外側突出部22はシールド24とともに封をするように構成され得る。シールド24は、処理空間85’の排気を可能にするためのプロセスガスの通路をもたらすように(孔を有する有孔シールドとして)構成され得る。シールド24が処理空間85’の排気を可能にするように構成されない場合、処理空間85’を排気するために真空ポンプシステム34と同様の別個の真空ポンプシステム35が使用され得る。
図3及び4に示されたシールド24は複数の目的を果たすことができる。シールド24は単純化された円筒形状を提供し、処理空間85及び85’内のガス流量を、より信頼性高く予測あるいは制御することが可能である。(有孔シールドとして)シールドの所定の位置に開口を設けることにより、流体の流れを設計し得る。同様に、シールド24は、プラズマの端部に近接する電気的な接地への対称的な経路を提供することができ、より信頼性高く予測あるいは制御され得る均一なプラズマを生成し得る。さらに、シールド24は取り換え可能なユニットとすることができ、壁10の内側に通常的に蓄積する堆積物を収集し得る。従って、シールド24は通常の定期的な保守にて取り換えられ、壁10の内側を洗浄することが必要になるまでの時間が延長され得る。
続いて図5を参照するに、堆積システム1又は1’は、プラズマ原子層堆積(PEALD)プロセスを実行するように構成され、基板上に薄膜を形成するように薄膜前駆体及び還元ガスが連続的且つ交互に導入される。例えば、タンタル含有膜を準備するためのPEALDプロセスにおいて、薄膜前駆体は金属ハロゲン化物(例えば、五塩化タンタル)又は有機金属(例えば、Ta(NC(CH)(N(CH;以下、TAIMATA(登録商標)と呼ぶ。更なる詳細は米国特許第6593484号明細書を参照)を含み得る。この例においては、還元ガスは、水素若しくは又はアンモニア(NH)、N及びH、N、NH(CH、又はNCHを含み得る。
第1の期間にて、基板25の露出面上への薄膜前駆体の吸着を引き起こすために、薄膜前駆体を処理チャンバー10に導入する。好ましくは、材料のモノレイヤー吸着が起こる。その後、図5に示されるように、第2の期間にて、パージガスを用いて処理チャンバー10を浄化する。基板25上に薄膜前駆体を吸着した後、第3の期間にて、処理チャンバー10に還元ガスを導入しながら、例えば上部アセンブリ30を介して第1の電源50から還元ガスに電力を結合させる。還元ガスへの電力の結合は還元ガスを加熱し、故に、還元ガスの電離及び解離を生じさせる。それにより、吸着Ta薄膜前駆体を減らして所望のTa含有膜を形成するように吸着Ta薄膜前駆体と反応することが可能な、例えば原子状水素などの解離種が形成される。
一例において、図5に示された第1のプロセス中、例えばTaF、TaCl、TaBr、TaI、Ta(CO)、Ta[N(CCH)](PEMAT)、Ta[N(CH(PDMAT)、Ta[N(C(PDEAT)、Ta(NC(CH)(N(C(TBTDET)、Ta(NC)(N(C、Ta(NC(CH)(N(CH、又はTa(NC(CH)(N(CH等をTa前駆体とし、その後、例えばH、NH、N及びH、N、NH(CH、又はNCH等の還元ガスに晒すことにより、タンタル(Ta)、タンタル窒化物、又はタンタル炭窒化物がPEALDプロセスを用いて堆積される。本発明に係るPEALDプロセスにおいては、還元ガスはプラズマ励起によるものである。
本発明に係るPEALDプロセスの他の一例において、チタン(Ti)、チタン窒化物、又はチタン炭窒化物を堆積するとき、薄膜前駆体は、TiF、TiCl、TiBr、TiI、Ti[N(CCH)](TEMAT)、Ti[N(CH(TDMAT)、又はTi[N(C(TDEAT)を含み、還元ガスは、H、NH、N及びH、N、NH(CH、又はNCHを含み得る。
PEALDプロセスの他の一例として、タングステン(W)、タングステン窒化物、又はタングステン炭窒化物を堆積するとき、薄膜前駆体はWF又はW(CO)を含み、還元ガスは、H、NH、N及びH、N、NH(CH、又はNCHを含み得る。
本発明に係るPEALDプロセスの他の一例において、モリブデン(Mo)を堆積するとき、薄膜前駆体は六フッ化モリブデン(MoF)を含み、還元ガスはHを含み得る。
ALDプロセスにて銅を堆積するとき、薄膜前駆体は、例えばエアプロダクト・アンド・ケミカル社(カリフォルニア州)のユニットであるシューマッハから入手可能なCupraSelect(登録商標)としても知られるCu(TMVS)(hfac)等の有機金属化合物、又は例えばCuCl等の無機化合物を含み、還元ガスは、H、O、N、NH、又はHOのうちの少なくとも1つを含み得る。なお、ここでは、用語“A、B、C、・・・又はXのうちの少なくとも1つ”は、列挙された要素のうちの何れか1つ、又は列挙された要素のうちの2つ以上の組み合わせを意味する。
本発明に係るPEALDプロセスの他の一例において、ZrOを堆積するとき、薄膜前駆体はZr(NO又はZrClを含み、還元ガスはHOを含み得る。
本発明に係るPEALDプロセスにおいてハフニウム酸化物を堆積するとき、薄膜前駆体はHf(OBu、Hf(NO、又はHfClを含み、還元ガスはHOを含み得る。他の一例においてハフニウム(Hf)を堆積するとき、薄膜前駆体はHfClを含み、還元ガスはHを含み得る。
本発明に係るPEALDプロセスの更に他の一例において、ニオビウム(Nb)を堆積するとき、薄膜前駆体は五塩化ニオビウム(NbCl)を含み、還元ガスはHを含み得る。
本発明に係るPEALDプロセスの他の一例において、亜鉛(Zn)を堆積するとき、薄膜前駆体は二塩化亜鉛(ZnCl)を含み、還元ガスはHを含み得る。
本発明に係るPEALDプロセスの他の一例において、二酸化シリコンを堆積するとき、薄膜前駆体はSi(OC、SiHCl、SiCl、又はSi(NOを含み、還元ガスはHO又はOを含み得る。他の一例において、窒化シリコンを堆積するとき、薄膜前駆体はSiCl又はSiHClを含み、還元ガスはNH、又はN及びHを含み得る。他の一例において、TiNを堆積するとき、薄膜前駆体は硝酸チタン(Ti(NO))を含み、還元ガスはNHを含み得る。
ALDプロセスの他の一例において、アルミニウムを堆積するとき、薄膜前駆体は塩化アルミニウム(AlCl)又は三メチルアルミニウム(Al(CH)を含み、還元ガスはHを含み得る。窒化アルミニウムを堆積するとき、薄膜前駆体は三塩化アルミニウム又は三メチルアルミニウムを含み、還元ガスはNH、又はN及びHを含み得る。他の一例において、酸化アルミニウムを堆積するとき、薄膜前駆体は塩化アルミニウム又は三メチルアルミニウムを含み、還元ガスはHO、又はO及びHを含み得る。
本発明に係るPEALDプロセスの他の一例において、GaNを堆積するとき、薄膜前駆体は硝酸ガリウム(Ga(NO)又は三メチルアルミニウム(Ga(CH)を含み、還元ガス材料はNHを含み得る。
また、上述のPEALDプロセスにおいて、処理容積は、第1の期間での第1のプロセスガス材料の導入及び必要に応じての第2の期間でのパージガスの導入における第1の容積(V1)と、第3の期間での第2のプロセスガス材料の導入及び必要に応じての第4の期間でのパージガスの導入における第2の容積(V2)との間で変更されることができる。処理空間の最適な容積(V1、V2)は、PEALDプロセスの処理工程ごとに選択され得る。
例えば、第1の容積(V1)は、第1のプロセスガス材料が処理空間を通過し、第1のプロセスガス材料の一部が基板表面に吸着するように、十分に小さくされ得る。処理空間の第1の容積が小さくされると、基板表面への吸着に必要な第1のプロセスガス材料の量は削減され、第1の処理空間内で第1のプロセスガス材料を交換するのに必要な時間が短縮される。例えば、処理空間の第1の容積が小さくされると、滞留時間が短縮されるので、第1の期間の短縮が可能になる。
また、例えば、第2の容積(V2)は、第2のプロセス材料からのプラズマの形成が基板上方に均一なプラズマ形成をもたらす容積に設定され得る。半導体ウェハを含む基板を処理するとき、処理空間は実質的に円筒形であり、直径(D)、及び基板と上部アセンブリとの間の高さすなわち間隔(h)によって特徴付けられる。この直径は基板サイズに関係するが、この間隔(すなわち高さ)は処理空間の容積を調整するための可変パラメータとし得る。均一なプラズマのために、基板(又は容積)の直径と間隔との間のアスペクト比(すなわち、D/h)は、およそ10という値以下、好ましくは、およそ5という値未満にし得る。例えば、このアスペクト比が増大すると、プラズマの均一性が悪化することが観測されているが、このアスペクト比が減少すると、プラズマの均一性が向上することが観測されている。例えば、プラズマを用いて200mm基板上に膜を堆積するとき、間隔hはおよそ20mm以上にされるべきである。
例えば、第1のプロセス材料の導入時の第1の容積は、200mm基板に関して20mm以下(あるいは、300mm基板に関して30mm以下)の間隔(基板ステージ20から上部アセンブリ30までの間隔)を含み、第2のプロセス材料の導入時の第2の容積は、200mm基板に関して20mmより大きい(あるいは、300mm基板に関して30mm以上)の間隔を含み得る。
図6は、本発明の一実施形態に従ったプロセスのプロセスフロー図を示している。図6のプロセスは、図1−4の処理システム、又は何らかのその他の好適処理システムによって実行される。図6に示されるように、このプロセスは、段階610にて、基板の上方に処理空間を画成する気相堆積システム内に基板を配置することで開始する。段階620にて、処理空間に気体状の薄膜前駆体を導入する。段階630にて、処理空間の容積を第1の大きさから第2の大きさまで拡大し、拡大された処理空間を形成する。段階640にて、拡大された処理空間内に還元ガスを導入する。段階650にて、還元ガスから還元プラズマを形成する。
さらに、本発明の一実施形態において、上記の段階群はプロセスが続けられるとき、逆にして繰り返され得る。例えば、還元プラズマは消滅させられ、処理空間の容積が第2の大きさから第1の大きさに縮小され、そして、段階群610−640が繰り返され得る。
段階650において、プラズマはRFエネルギーを0.1MHzから100MHzまでの或る周波数で印加することによって形成され得る。本発明の一態様においては、プラズマを形成することに先立って、プラズマの均一性に一層とつながる条件の助けとなるように、処理空間の容積が増大される。従って、段階630にて、基板ステージは第2の気相堆積プロセスのプラズマ均一性を高める位置に移動される。例えば、基板ステージは、プラズマの均一性が基板ステージの200mmの直径にわたって2%又は1%より良好になるような位置に配置され得る。例えば、基板ステージは、プラズマの均一性が基板ステージの300mmの直径にわたって2%又は1%より良好になるような位置に配置され得る。
段階650において、還元プラズマは10W/cm未満、好ましくは1W/cm未満のパワー密度を有し得る。還元プラズマの期間は20s未満、好ましくは5s未満とし得る。例えば、図1−4を参照するに、還元プラズマは、上部アセンブリ30を介して200Wから3000Wの無線周波数(RF)電力を還元ガスに結合させることによって形成され得る。
段階620において、気体状の薄膜前駆体はシールドによって囲まれた基板上方の領域内に導入される。本発明の一実施形態において、シールドが孔を有することにより、有孔シールドを介して気体状の薄膜前駆体を吸い出すこと(pumping)によって気体状の薄膜前駆体の排気を可能にし得る。シールドが孔を有さない場合、処理空間の内部は別個にポンプで排気され得る。
段階610−640において、金属、金属酸化物、金属窒化物、金属珪化物、又は金属炭窒化物のうちの少なくとも1つから成る膜が堆積され得る。例えば、膜はタンタル膜、タンタル窒化物膜、又はタンタル炭窒化物膜のうちの少なくとも1つを含んでいてもよい。段階610−640において、Al膜、Cu膜、Zn膜、金属珪化物膜、若しくはゲルマニウム含有膜のうちの少なくとも1つ、又は別々に堆積された、あるいは合金として堆積されたこれらの膜の何れか1つの組み合わせ、から成る膜が堆積され得る。段階610−640において、ジルコニウム酸化物膜、ハフニウム酸化物膜、シリコン酸化物膜、チタン窒化物膜、若しくはGaN膜、又はこれらの膜の何れか1つの組み合わせから成る膜が堆積され得る。
段階650中、基板に基板バイアスが供給され得る。例えば、基板バイアスはDC電圧及び/又は0.1MHzから100MHzまでの或る周波数を有するRF電圧とし得る。段階650に先立ち、第1の膜の表面での還元反応プロセスを加速させるプラズマを生成するために、電磁力が気相堆積システムに結合され得る。
さらに、薄膜前駆体の導入度にパージガスが導入されてもよい。また、パージガスの存在に拘わらず、気相堆積システム又は基板の少なくとも一方から汚染物質を解放するために、電磁力が気相堆積システムに結合されてもよい。この電磁力は、プラズマ、紫外光、又はレーザの形態で気相堆積システムに結合され得る。
図1−4に示されるように、堆積システム1及び1’はコントローラ70を含んでいる。コントローラ70は、処理チャンバー10、基板ステージ20、上部アセンブリ30、第1のプロセス材料供給システム40、第2のプロセス材料供給システム42、パージガス供給システム44、第1の電源50、基板温度制御システム60、及び/又は処理容積調整システム80に結合され得る。
コントローラ70は、上述の膜堆積プロセスの制御及び監視のために、マイクロプロセッサ、メモリ、及び、堆積システム1(1’)からの出力を監視するとともに堆積システム1(1’)への入力を伝達し且つアクティブにするのに十分な制御電圧を生成可能なデジタルI/Oポートを含んでいる。例えば、コントローラ70は、図6に関連して説明された段階群を達成することを実行するためのプログラム命令を格納したコンピュータ読み取り可能媒体を含み得る。また、コントローラ70は、処理チャンバー10、基板ステージ20、上部アセンブリ30、第1のプロセス材料ガス供給システム40、第2のプロセス材料ガス供給システム42、パージガス供給システム44、第1の電源50、第2の電源52、基板温度制御システム60、及び/又は圧力制御システム32に結合され、それらと情報を交換してもよい。例えば、上述の非プラズマプロセス又はプラズマ支援堆積プロセスの1つを実行するため、プロセスレシピに従って堆積システム1(1’)の上述の構成要素への入力をアクティブにするように、メモリ内に格納されたプログラムが使用され得る。
コントローラ70の一例は、デル社から入手可能なDELL PRECISION WORKSTATION610(登録商標)である。しかしながら、コントローラ70は、メモリ内に格納された1つ以上の命令の1つ以上のシーケンスをプロセッサが実行することに応答して、本発明のマイクロプロセッサに基づく処理段階群の一部又は全てを実行する汎用コンピュータシステムとして実装されてもよい。このような命令は、例えばハードディスク又は取り外し可能メディアドライブ等の別のコンピュータ読み取り可能媒体からコントローラメモリに読み込まれてもよい。また、多重処理構成の1つ以上のプロセッサが、メインメモリに格納された命令のシーケンスを実行するコントローラマイクロプロセッサとして用いられてもよい。代替的な実施形態においては、ソフトウェア命令に変えて、あるいは組み合わせて、配線接続された回路が用いられてもよい。故に、本発明の実施形態は、ハードウェア回路とソフトウェア回路との如何なる特定の組み合わせにも限定されない。
コントローラ70は、本発明の教示に従ってプログラムされた命令を保持し、且つ本発明を実施するために必要となり得るデータ構造、テーブル、記録、又はその他のデータを格納するために、例えばコントローラメモリ等の少なくとも1つのコンピュータ読み取り可能媒体又はメモリを含む。コンピュータ読み取り可能媒体の例は、コンパクトディスク、ハードディスク、フロッピーディスク(登録商標)、テープ、磁気光学ディスク、PROM(EPROM、EEPROM、フラッシュEPROM)、DRAM、SRAM、SDRAM、その他の何らかの磁気媒体、コンパクトディスク(例えば、CD−ROM)、その他の何らかの光学媒体、パンチカード、紙テープ、穴パターンを有するその他の物理媒体、搬送波(後述)、又はコンピュータが読み取り可能なその他の何らかの媒体である。
本発明は、コントローラ70を制御し、本発明を実施するように1つ又は複数の装置を駆動し、且つ/或いはコントローラがヒトのユーザと相互作用することを可能にするための、コンピュータ読み取り可能媒体の何れか1つ又は組み合わせに格納されたソフトウェアを含む。このソフトウェアは、以下に限られないが、デバイスドライバ、オペレーディングシステム、開発ツール、及びアプリケーションソフトウェアを含み得る。このようなコンピュータ読み取り可能媒体は更に、本発明を実施する上で実行される処理の全て又は一部(処理が分散される場合)を実行するための本発明に係るコンピュータプログラム製品を含む。
本発明に係るコンピュータコードデバイスは、以下に限られないが、スクリプト、インタープリタ型プログラム、動的リンクライブラリ(DLL)、Javaクラス、及び完全実行型プログラム、を含む如何なるインタープリタコード機構又は実行コード機構であってもよい。また、本発明の処理の一部は、より良好な性能、信頼性、及び/又はコストのために分散させられてもよい。
用語“コンピュータ読み取り可能媒体”は、ここでは、コントローラ70のプロセッサに実行のための命令を提供することに関与する如何なる媒体をも意味する。コンピュータ読み取り可能媒体は、以下に限られないが、不揮発性媒体、揮発性媒体、及び伝送媒体を含む数多くの形態をとり得る。不揮発性媒体は、例えば、ハードディスクドライブ又は取り外し可能媒体ドライブのような、光ディスク、磁気ディスク、及び磁気光学ディスクを含む。揮発性媒体は、例えばメインメモリ等のダイナミックメモリを含む。また、コンピュータ読み取り可能媒体の様々な形態は、コントローラのプロセッサへの1つ以上の実行命令の1つ以上のシーケンスを実行することに関与し得る。例えば、命令は当初、遠隔コンピュータの磁気ディスク上に担持されていてもよい。遠隔コンピュータは、本発明の全て又は一部を実施するための命令をダイナミックメモリにロードし、その命令をコントローラ70にネットワーク上で送ることができる。
コントローラ70は、堆積システム1(1’)に対してローカルに配置されていてもよいし、堆積システム1(1’)に対して遠隔に配置されていてもよい。例えば、コントローラ70は、直接接続、イントラネット、インターネット、及び無線通信のうちの少なくとも1つを用いて、堆積システム1(1’)とデータを交換してもよい。コントローラ70は、例えば、顧客側(すなわち、デバイスメーカー等)のイントラネットに結合されていてもよいし、製造供給元(すなわち、装置製造者)のイントラネットに結合されていてもよい。また、例えば、コントローラ70はインターネットに結合されていてもよい。さらに、他のコンピュータ(すなわち、コントローラ、サーバ等)が、直接接続、イントラネット及びインターネットのうちの少なくとも1つを介して、データ交換のためにコントローラ70にアクセスしてもよい。当業者に認識されるように、コントローラ70は無線接続を介して堆積システム1(1’)とデータを交換してもよい。
以上では本発明の特定の実施形態のみが詳細に説明されているが、当業者に容易に認識されるように、これらの実施形態には、本発明の新規な教示及び効果を実質的に逸脱することなく数多くの変更が為され得る。
本発明の一実施形態に従った堆積システムを示す概略図である。 図1の堆積システムを示す概略図であり、本発明の一実施形態に従って拡大された処理空間を示している。 本発明の他の一実施形態に従った堆積システムを示す概略図である。 図3の堆積システムを示す概略図であり、本発明の一実施形態に従って拡大された処理空間を示している。 本発明の一実施形態に従った、図1−4の堆積システムにて使用されるタイミング図である。 本発明の一実施形態に従ったプロセスを示すプロセスフロー図である。

Claims (37)

  1. 気相堆積システムにて基板上に薄膜を形成する方法であって:
    前記気相堆積システム内に基板を配置する配置段階であり、前記気相堆積システムは前記基板の上方に処理空間を画成する、配置段階;
    前記処理空間に気体状の薄膜前駆体を導入する段階;
    前記処理空間への前記薄膜前駆体の導入に続いて、基板ステージと上部チャンバーアセンブリとの間の離隔距離を変化させることによって、前記処理空間の容積を第1の大きさから第2の大きさまで拡大して拡大処理空間を形成する拡大段階;
    前記拡大処理空間に還元ガスを導入する段階;及び
    前記還元ガスから還元プラズマを形成する段階;
    を有する方法。
  2. 前記還元プラズマを消滅させる段階;
    前記処理空間の容積を前記第2の大きさから前記第1の大きさまで縮小する縮小段階;及び
    前記薄膜前駆体を導入する段階、前記処理空間の容積を拡大する拡大段階、前記還元ガスを導入する段階、及び前記還元プラズマを形成する段階、を繰り返す段階;
    を更に有する請求項1に記載の方法。
  3. 前記処理空間の容積を拡大する拡大段階は:
    前記基板ステージの最上部から前記上部チャンバーアセンブリのガス供給口まで20mm以上の間隔によって定められる位置まで、前記基板ステージを移動させる移動段階
    を有する、請求項1に記載の方法。
  4. 前記気体状の薄膜前駆体を導入する段階、前記還元ガスを導入する段階、及び前記還元プラズマを形成する段階は:
    タンタル膜、タンタル窒化物膜、又はタンタル炭窒化物膜のうちの少なくとも1つを堆積すること
    を有する、請求項1に記載の方法。
  5. 前記気体状の薄膜前駆体を導入する段階、前記還元ガスを導入する段階、及び前記還元プラズマを形成する段階は:
    金属膜、金属酸化物膜、金属窒化物膜、金属珪化物膜、若しくは金属炭窒化物膜のうちの少なくとも1つ、又はこれらの膜の何れか1つの組み合わせを別々に堆積すること
    を有する、請求項1に記載の方法。
  6. 前記気体状の薄膜前駆体を導入する段階、前記還元ガスを導入する段階、及び前記還元プラズマを形成する段階は:
    Cu膜、Al膜、ジルコニウム酸化物膜、ハフニウム酸化物膜、シリコン酸化物膜、シリコン窒化物膜、チタン窒化物膜、若しくはGaN膜のうちの少なくとも1つ、又はこれらの膜の何れか1つの組み合わせを堆積すること
    を有する、請求項1に記載の方法。
  7. 前記気体状の薄膜前駆体を導入する段階は:
    前記気体状の薄膜前駆体を、シールドによって囲まれた前記基板の上方の領域内に導入すること
    を有する、請求項1に記載の方法。
  8. 前記シールドの孔を介して前記気体状の薄膜前駆体を吸い出すことによって、前記基板の上方の前記領域から前記薄膜前駆体を排出する段階;
    を更に有する請求項に記載の方法。
  9. 前記還元プラズマを形成する段階は:
    0.1MHzから100MHzまでの或る周波数でRFエネルギーを印加する段階
    を有する、請求項1に記載の方法。
  10. 前記還元プラズマを形成する段階は:
    10W/cm未満の電力密度を有する還元プラズマを生成すること
    を有する、請求項に記載の方法。
  11. 前記還元プラズマを形成する段階は:
    1W/cm未満の電力密度を有する還元プラズマを生成すること
    を有する、請求項に記載の方法。
  12. 前記還元プラズマを20秒未満の期間だけ持続させる段階;
    を更に有する、請求項に記載の方法。
  13. 前記還元プラズマを5秒未満の期間だけ持続させる段階;
    を更に有する、請求項に記載の方法。
  14. 前記気体状の薄膜前駆体を導入する段階の後に、パージガスを導入する段階;
    を更に有する、請求項1に記載の方法。
  15. 少なくとも前記還元プラズマの期間において、前記基板に基板バイアスを印加する段階;
    を更に有する、請求項1に記載の方法。
  16. 前記基板バイアスを印加する段階は:
    DC電圧、又は0.1MHzから100MHzまでの或る周波数を有するRF電圧の少なくとも一方で前記基板をバイアスすること
    を有する、請求項15に記載の方法。
  17. 基板処理システムのプロセッサ上で実行されるプログラム命令を格納したコンピュータ読み取り可能媒体であって、前記プログラム命令は、前記プロセッサによって実行されるとき前記基板処理システムに、請求項1乃至16に記載された段階群の何れか1つを実行させる、コンピュータ読み取り可能媒体。
  18. 基板上に薄膜を気相堆積するシステムであって:
    第1の容積を有する第1の処理空間、及び
    前記第1の処理空間を含み、且つ前記第1の容積より大きい第2の容積を有する、第2の処理空間、
    を含む処理チャンバーを有し
    前記第1の処理空間は原子層堆積用に構成されており;且つ
    前記第2の処理空間は、前記第1の処理空間で堆積された層のプラズマ還元用に構成されており
    処理空間の容積が、該処理空間への前記原子層堆積のための気体状の薄膜前駆体の導入に続いて、基板ステージと上部アセンブリである第1のチャンバーアセンブリとの間の離隔距離を変化させることによって前記第1の容積から前記第2の容積へと変化される、
    システム。
  19. 前記基板ステージは、前記原子層堆積及び前記プラズマ還元反応の双方において前記基板を保持するように構成されている、請求項18に記載のシステム。
  20. 前記第1のチャンバーアセンブリはガス供給口を有し、
    当該システムは、前記基板ステージを支持し且つ前記処理チャンバーの排気用の真空ポンプを支持するように構成された第2のチャンバーアセンブリ、を更に有する、
    請求項19に記載のシステム。
  21. 前記第1の処理空間は、前記基板ステージの最上部から前記第1のチャンバーアセンブリの前記ガス供給口まで20mm以下の間隔によって定められ、且つ
    前記第2の処理空間は、前記基板ステージの最上部から前記第1のチャンバーアセンブリの前記ガス供給口まで20mm以上の間隔によって定められる、
    請求項20に記載のシステム。
  22. 前記第1及び第2の処理空間の容積を変化させる方向に前記基板ステージを移動させるように構成された処理容積調整機構;
    を更に有する請求項19に記載のシステム。
  23. 前記第2の処理空間は、高さの幅に対するアスペクト比が0.1より大きい空間を有する、
    請求項18に記載のシステム。
  24. 前記第2の処理空間は、高さの幅に対するアスペクト比が0.5より大きい空間を有する、
    請求項18に記載のシステム。
  25. 前記第1の処理空間の周辺端部を囲むように構成されたシールド;
    を更に有する請求項18に記載のシステム。
  26. 前記シールドは有孔シールドから成る、請求項25に記載のシステム。
  27. 前記基板ステージは、前記原子層堆積及び前記プラズマ還元反応の双方において前記基板を保持するように構成されており、
    前記基板ステージは、前記周辺端部で前記シールドと接触するように構成された周辺突出部を有する、
    請求項25に記載のシステム。
  28. 前記周辺突出部は前記周辺端部の封止を形成するように構成されている、請求項27に記載のシステム。
  29. 少なくとも前記第1の処理空間を排気するように構成された真空ポンプ;
    を更に有する請求項27に記載のシステム。
  30. 前記処理チャンバーは、金属膜、金属酸化物膜、金属窒化物膜、金属珪化物膜、若しくは金属炭窒化物膜のうちの少なくとも1つ、又はこれらの膜の何れか1つの組み合わせ、の原子層堆積用に構成されている、請求項18に記載のシステム。
  31. ジルコニウム酸化物膜、ハフニウム酸化物膜、シリコン酸化物膜、シリコン窒化物膜、チタン窒化物膜、タンタル窒化物膜、タンタル炭窒化物膜、Cu膜、Al膜、Zn膜、Ta膜、Ti膜、W膜、タングステン窒化物膜、若しくはGaN膜のうちの少なくとも1つ、又はこれらの膜の何れか1つの組み合わせ、の原子層堆積用に構成されている、請求項18に記載のシステム。
  32. 0.1MHzから100MHzまでの或る周波数のRFエネルギーを出力するように構成されたRF電源;
    を更に有する請求項18に記載のシステム。
  33. 前記RF電源に接続され、前記第1及び第2の処理空間の少なくとも一方内に前記RFエネルギーを結合させるように構成された電極;
    を更に有する請求項32に記載のシステム。
  34. DC電圧、又は0.1MHzから100MHzまでの或る周波数のRF電圧の少なくとも一方を出力するように構成されたバイアス源;
    を更に有する請求項18に記載のシステム。
  35. 前記基板にバイアスを印加するように構成された電極であり、RFバイアス源に接続され、前記基板上に前記RF電圧を結合させるように構成された電極;
    を更に有する請求項34に記載のシステム。
  36. 前記処理チャンバー内でのプロセスを制御するように構成されたコントローラ;
    を更に有する請求項18に記載のシステム。
  37. 前記コントローラは:
    前記第1の処理空間に気体状の薄膜前駆体を導入し;
    前記基板を保持する前記基板ステージの位置を前記第2の処理空間の底面を定める位置まで移動させて、拡大処理空間を形成し;
    前記拡大処理空間内に還元ガスを導入し;且つ
    前記還元ガスから還元プラズマを形成する;
    ようにプログラムされている、請求項36に記載のシステム。
JP2008541231A 2005-11-18 2006-11-09 プラズマ原子層堆積を実行する方法及びシステム Expired - Fee Related JP5312036B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/281,342 US7897217B2 (en) 2005-11-18 2005-11-18 Method and system for performing plasma enhanced atomic layer deposition
US11/281,342 2005-11-18
PCT/US2006/043545 WO2007061633A2 (en) 2005-11-18 2006-11-09 Method and system for performing plasma enhanced atomic layer deposition

Publications (2)

Publication Number Publication Date
JP2009521594A JP2009521594A (ja) 2009-06-04
JP5312036B2 true JP5312036B2 (ja) 2013-10-09

Family

ID=38053869

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008541231A Expired - Fee Related JP5312036B2 (ja) 2005-11-18 2006-11-09 プラズマ原子層堆積を実行する方法及びシステム

Country Status (6)

Country Link
US (1) US7897217B2 (ja)
JP (1) JP5312036B2 (ja)
KR (1) KR101351657B1 (ja)
CN (1) CN101535524B (ja)
TW (1) TWI366609B (ja)
WO (1) WO2007061633A2 (ja)

Families Citing this family (328)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
KR100706803B1 (ko) * 2006-01-19 2007-04-12 삼성전자주식회사 반도체 소자 및 그의 형성 방법
US7947579B2 (en) * 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
US8440268B2 (en) * 2006-03-30 2013-05-14 Mitsui Engineering & Shipbuilding Co., Ltd. Method and apparatus for growing plasma atomic layer
US8026168B2 (en) * 2007-08-15 2011-09-27 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US7704884B2 (en) * 2008-04-11 2010-04-27 Micron Technology, Inc. Semiconductor processing methods
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CA2653581A1 (en) * 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5668294B2 (ja) * 2010-02-23 2015-02-12 凸版印刷株式会社 ガスバリアフィルムおよびその製造方法
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5839804B2 (ja) * 2011-01-25 2016-01-06 国立大学法人東北大学 半導体装置の製造方法、および半導体装置
JP2012182303A (ja) * 2011-03-01 2012-09-20 Toppan Printing Co Ltd 太陽電池バックシート
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6199292B2 (ja) * 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
CN103031545B (zh) * 2011-09-29 2014-10-22 中国科学院微电子研究所 一种沉积室容积可调节的原子层沉积设备
CN103031546B (zh) * 2011-09-29 2016-01-20 中国科学院微电子研究所 一种原子层沉积设备及其使用方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TW201408810A (zh) * 2012-07-12 2014-03-01 Applied Materials Inc 用於沉積貧氧金屬膜的方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN105369218B (zh) * 2014-08-06 2019-02-01 成均馆大学校产学协力团 无机薄膜的高速沉积方法以及用于所述方法的装置
CN105369222B (zh) * 2014-08-06 2019-02-01 成均馆大学校产学协力团 包含多种前体的无机薄膜的制备方法及用于该方法的装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
JP6334369B2 (ja) * 2014-11-11 2018-05-30 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
TWI726951B (zh) 2015-12-17 2021-05-11 美商應用材料股份有限公司 處理氮化物膜之方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106756885A (zh) * 2016-12-27 2017-05-31 中国科学院微电子研究所 一种可变电场调制的远程等离子体原子层沉积系统
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10822699B2 (en) * 2017-12-29 2020-11-03 Varian Semiconductor Equipment Associates, Inc. Techniques for controlling precursors in chemical deposition processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
CN110218988A (zh) * 2019-06-10 2019-09-10 浙江水晶光电科技股份有限公司 Ar膜制备装置、ar膜制备方法及ar膜
JP7300898B2 (ja) * 2019-06-11 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR102254446B1 (ko) 2019-06-20 2021-05-24 주식회사 히타치하이테크 플라스마 처리 장치 및 플라스마 처리 방법
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
WO2021155531A1 (en) * 2020-02-06 2021-08-12 Applied Materials, Inc. Method and apparatus for tuning film properties during thin film deposition
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
FR3107782B1 (fr) * 2020-02-27 2023-10-27 Commissariat Energie Atomique Procédé de réalisation d’une couche à base de nitrure d’aluminium (AlN) sur une structure à base de silicium ou de matériaux III-V
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11672184B2 (en) * 2020-08-14 2023-06-06 United Microelectronics Corp. Magnetic tunnel junction (MTJ) device and manufacturing method thereof
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TWI769631B (zh) * 2020-12-21 2022-07-01 天虹科技股份有限公司 原子層沉積裝置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20230047186A1 (en) * 2021-08-13 2023-02-16 Nano-Master, Inc. Apparatus and Methods for Roll-to-Roll (R2R) Plasma Enhanced/Activated Atomic Layer Deposition (PEALD/PAALD)
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114381710A (zh) * 2022-01-17 2022-04-22 西安交通大学 一种GaN薄膜的制备方法、GaN薄膜及其应用

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US6462483B1 (en) * 1999-11-18 2002-10-08 Nano-Architect Research Corporation Induction plasma processing chamber
US6350317B1 (en) * 1999-12-30 2002-02-26 Lam Research Corporation Linear drive system for use in a plasma processing system
AU2002211730A1 (en) * 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
JP4579157B2 (ja) 2003-03-25 2010-11-10 東京エレクトロン株式会社 処理装置及び切り替え機構
JP2005082888A (ja) 2003-09-11 2005-03-31 Tokyo Electron Ltd 成膜装置
JP4651955B2 (ja) 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法

Also Published As

Publication number Publication date
WO2007061633A3 (en) 2009-04-23
KR20080070072A (ko) 2008-07-29
CN101535524A (zh) 2009-09-16
US20070116887A1 (en) 2007-05-24
US7897217B2 (en) 2011-03-01
KR101351657B1 (ko) 2014-02-17
WO2007061633A2 (en) 2007-05-31
CN101535524B (zh) 2013-03-06
TW200730661A (en) 2007-08-16
JP2009521594A (ja) 2009-06-04
TWI366609B (en) 2012-06-21

Similar Documents

Publication Publication Date Title
JP5312036B2 (ja) プラズマ原子層堆積を実行する方法及びシステム
JP5101868B2 (ja) シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム
KR101277036B1 (ko) 기판 상에 증착물을 형성하는 증착 시스템
US8454749B2 (en) Method and system for sealing a first assembly to a second assembly of a processing system
US7670432B2 (en) Exhaust system for a vacuum processing system
JP5209197B2 (ja) 熱およびプラズマ増強蒸着のための装置および操作方法
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US7794546B2 (en) Sealing device and method for a processing system
US7740705B2 (en) Exhaust apparatus configured to reduce particle contamination in a deposition system
US7338901B2 (en) Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7422636B2 (en) Plasma enhanced atomic layer deposition system having reduced contamination
US8163087B2 (en) Plasma enhanced atomic layer deposition system and method
JP5253149B2 (ja) プラズマ加速原子層成膜のシステムおよび方法
WO2006104863A2 (en) A plasma enhanced atomic layer deposition system
KR101281863B1 (ko) 증착 시스템

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20091104

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20120925

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20121121

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130129

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130326

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130604

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130702

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

Ref document number: 5312036

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

LAPS Cancellation because of no payment of annual fees