KR101277036B1 - 기판 상에 증착물을 형성하는 증착 시스템 - Google Patents

기판 상에 증착물을 형성하는 증착 시스템 Download PDF

Info

Publication number
KR101277036B1
KR101277036B1 KR1020060113812A KR20060113812A KR101277036B1 KR 101277036 B1 KR101277036 B1 KR 101277036B1 KR 1020060113812 A KR1020060113812 A KR 1020060113812A KR 20060113812 A KR20060113812 A KR 20060113812A KR 101277036 B1 KR101277036 B1 KR 101277036B1
Authority
KR
South Korea
Prior art keywords
assembly
substrate
deposition system
space
temperature
Prior art date
Application number
KR1020060113812A
Other languages
English (en)
Other versions
KR20070053142A (ko
Inventor
이쳉 리
다다히로 이시자카
가오루 야마모토
아츠시 고미
마사미치 하라
도시아코 후지사토
쟈끄 파게
야스시 미즈사와
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20070053142A publication Critical patent/KR20070053142A/ko
Application granted granted Critical
Publication of KR101277036B1 publication Critical patent/KR101277036B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판상에서의 기상 증착을 위한 방법, 컴퓨터 판독 가능한 기록 매체 및 시스템은 기상 증착 시스템의 제1 어셈블리를 제1 온도로 유지하고, 기상 증착 시스템의 제2 어셈블리를 상기 제1 온도보다 낮은 감소된 온도로 유지하고, 기판을 제2 어셈블리의 전달 공간으로부터 진공 격리된 제1 어셈블리의 공정 공간 내에 배치하며, 기판상에 재료를 증착한다. 따라서 기상 증착 시스템은 재료 증착을 실행하도록 구성된 공정 공간을 갖는 제1 어셈블리와, 제1 어셈블리에 결합되고 기상 증착 시스템의 내외로 기판을 운반하게 하는 전달 공간을 갖는 제2 어셈블리와, 제2 어셈블리에 접속되어 기판을 지지하도록 구성된 기판 스테이지와, 공정 공간을 전달 공간으로부터 분리하도록 구성된 실링 어셈블리를 포함한다. 제1 어셈블리는 제1 온도로 유지되도록 구성되고, 제2 어셈블리는 제1 온도보다 낮은 감소된 온도로 유지되도록 구성된다.

Description

기판 상에 증착물을 형성하는 증착 시스템{DEPOSITION SYSTEM FOR FORMING DEPOSIT ON SUBSTRATE}
도 1a 및 도 1b는 본 발명의 일 실시예에 따른 증착 시스템의 개략도를 도시하고,
도 2는 낮은 샘플 스테이지 위치에서 샘플 운반을 실시하고 있는 본 발명의 일 실시예에 따른 도 1의 증착 시스템의 개략도를 도시하고,
도 3은 본 발명의 일 실시예에 따른 밀봉 기구의 개략도를 도시하고,
도 4는 본 발명의 일 실시예에 따른 다른 밀봉 기구의 개략도를 도시하고,
도 5는 본 발명의 일 실시예에 따른 또 다른 밀봉 기구의 개략도를 도시하고,
도 6은 본 발명의 일 실시예에 따른 다른 밀봉 기구의 개략도를 도시하고,
도 7은 본 발명의 일 실시예에 따른 공정의 공정 흐름도를 도시한다.
<도면의 주요 부분에 대한 부호의 설명>
101 : 증착 시스템
120 : 기판 스테이지
130 : 제1 조립체
132 : 제2 조립체
180 : 공정 공간
182 : 전달 공간
본 출원은 미국 특허 출원 11/090,255에 관한 것으로, 이 출원은 Attorney Docket No. 26 7366 US, Client Ref. No. TTCA 19이고, 발명의 명칭이 "A PLASMA ENHANCED ATOMIC LAYER DEPOSITION SYSTEM"이며, 이제 미국 특허 출원 공개 No. 2004VVVVVVVVVV를 가지며, 이 특허 출원의 전체 내용은 본원 명세서에 참고로 인용된다. 본 출원은 미국 특허 출원 11/084,176에 관한 것으로, 이 출원은 Attorney Docket No. 265595US, Client Ref. No. TTCA 24이고, 발명의 명칭 "A DEPOSITION SYSTEM AND METHOD"이며, 이제 미국 특허 출원 공개 No. 2004VVVVVVVVVV를 가지며, 이 특허 출원의 전체 내용은 본원 명세서에 참고로 인용된다. 본 출원은 미국 특허 출원 XX/XXX,XXX에 관한 것으로, 이 특허 출원은 Client Ref. No. TTCA 27이고, 발명의 명칭이 "A PLASMA ENHANCED ATOMIC LAYER DEPOSITION SYSTEM HAVING REDUCED CONTAMINATION"이며, 이제 미국 특허 출원 공개 No. 2004VVVVVVVVVV를 가지며, 이 특허 출원의 전체 내용은 본원 명세서에 참고로 인용된다. 본 출원은 미국 특허 출원 XX/XXX,XXX에 관한 것으로, 이 출원은 Attorney Docket No. 2274017US, Client Ref. No. TTCA 54이고, 발명의 명칭이 "METHOD AND SYSTEM FOR PERFORMING THERMAL AND PLASMA ENHANCED VAPOR DEPOSITION"이며, 이제 미국 특허 출원 공개 No. 2006VVVVVVVVVV를 가지며, 이 특허 출원의 전체 내용은 본원 명세서에 참고로 인용된다. 본 출원은 미국 특허 출원 XX/XXX,XXX에 관한 것으로, 이 출원은 Attorney Docket No. 2274020US, Client Ref. No. TTCA 55이고, 발명의 명칭이 "A DEPOSITION SYSTEM AND METHOD FOR PLASMA ENHANCED ATOMIC LAYER DEPOSITION"이며, 이제 미국 특허 출원 공개 No. 2006VVVVVVVVVV를 가지며, 이 특허 출원의 전체 내용은 본원 명세서에 참고로 인용된다. 본 출원은 미국 특허 출원 XX/XXX,XXX에 관한 것으로, 이 출원은 Attorney Docket No. 2274016US, Client Ref. No. TTCA 63이고, 발명의 명칭이 "METHOD AND SYSTEM FOR SEALING A FIRST CHAMBER PORTION TO A SECOND CHAMBER PORTION OF A PROCESSING SYSTEM"이며, 이제 미국 특허 출원 공개 No. 2006VVVVVVVVVV를 가지며, 이 특허 출원의 전체 내용은 본원 명세서에 참고로 인용된다.
본 발명은 증착 시스템 및 이 증착 시스템의 작동 방법에 관한 것으로, 보다 구체적으로 말하면 재료 증착 및 운반을 위하여 별도의 영역을 갖는 증착 시스템에 관한 것이다.
통상적으로, 재료 처리 중에, 복합 재료 구조를 형성할 때에, 재료 막의 추가 및 제거를 용이하게 하기 위하여 플라즈마가 주로 채용된다. 예컨대, 반도체 처리 시에는, 주로 건식 플라즈마 에칭 공정을 사용하여, 실리콘 기판상에 패터닝된 비아나 접점 또는 미세 라인을 따라 재료를 제거하거나 에칭하고 있다. 대안으로, 예컨대 기상 증착 공정을 사용하여 미세 라인을 따라 또는 실리콘 기판상의 비 아 또는 접점 내에 재료를 증착하고 있다. 후자의 경우에, 기상 증착 시스템은 화학 기상 증착(CVD), 플라즈마 화학 기상 증착(PECVD)을 포함한다.
PECVD에 있어서는, 막 증착 기구를 변경하거나 개선하는 데에 플라즈마를 사용한다. 예컨대, 플라즈마 여기로 인하여 일반적으로, 열적으로 여기된 CVD에 의해 유사한 막을 형성하는 데 통상적으로 요구되는 온도보다 현저하게 낮은 온도로 막 성형 반응을 진행할 수 있다. 또한, 플라즈마 여기는 열적 CVD에서 에너지적으로 또는 운동학적으로 바람직하지 않은 막 형성 화학 반응을 활성화시킬 수 있다. 따라서 PECVD 막의 화학적 특성 및 물리적 특성은 공정 파라미터를 조정함으로써 비교적 넓은 범위에 걸쳐 변경될 수 있다.
보다 최근에는, 원자층 증착(ALD) 및 플라즈마 ALD(PEALD)가, FEOL(front end-of-line) 작업에서의 초박막 게이트 막 형성과, BEOL(back end-of-line)에서의 금속화를 위한 초박막 배리어 층 및 시드 층 형성을 위한 후보로서 부상하고 있다. ALD에 있어서는, 재료 막을 한번에 하나의 단층으로 형성하기 위하여 기판을 가열하는 중에 막 전구체 및 환원 가스와 같은 2 이상의 공정 가스가 번갈아서 순차적으로 도입된다. PEALD에 있어서는, 환원 가스를 도입하는 중에 플라즈마가 형성되어 환원 플라즈마를 형성한다. 현재까지, ALD와 PEALD 공정은 이들 공정이 CVD 및 PECVD 공정보다 느리다는 단점에도 불구하고 층 두께의 개선된 균일성과 층이 증착되는 특징부에 대한 적합성을 제공하는 것으로 확인되었다.
본 발명의 한 가지 목적은, 적합성(conformality), 접착성 및 순도가 결과적 인 반도체 소자에 영향을 끼치는 점차 중요한 이슈로 되고 있는 라인 사이즈의 현저한 감소에 따른 반도체 처리와 관련한 다양한 문제를 해결하는 것에 관한 것이다.
본 발명의 다른 목적은 후속 증착되거나 처리된 층의 계면 사이에서의 오염 문제를 감소시키는 것이다.
본 발명의 다른 목적은 동일 시스템 내에서의 기상 증착 및 샘플 운반에 적합한 구조를 제공하는 것이다.
본 발명의 전술한 및/또는 다른 목적을 위한 변형예가 본 발명의 특정 실시예에 의해 제공된다.
본 발명의 일 양태에 따르면, 기판을 처리하기 위하여 기상 증착 시스템에 있어서 기판상에 재료를 증착하기 위한 증착 방법이 제공되며, 이 방법은 기상 증착 시스템의 제1 어셈블리를 제1 온도로 유지하고, 기상 증착 시스템의 제2 어셈블리를 상기 제1 온도보다 낮은 감소된 온도로 유지하고, 기판을 제2 어셈블리의 전달 공간으로부터 진공 격리된 제1 어셈블리의 공정 공간 내에 배치하며, 기판상에 재료를 증착한다.
본 발명의 다른 양태에 따르면, 기판상에 증착물을 형성하기 위한 증착 시스템이 제공되며, 이 증착 시스템은 재료 증착을 실행하도록 구성된 공정 공간을 갖는 제1 어셈블리와, 제1 어셈블리에 결합되고 증착 시스템의 내외로 기판을 전달하는 것을 용이하게 하는 전달 공간을 갖는 제2 어셈블리와, 제2 어셈블리에 접속되어 기판을 지지하도록 구성된 기판 스테이지와, 공정 공간을 전달 공간으로부터 분리하도록 구성된 실링 어셈블리를 포함한다. 제1 어셈블리는 제1 온도로 유지되도록 구성되고, 제2 어셈블리는 제1 온도보다 낮은 감소된 온도로 유지되도록 구성된다.
첨부 도면으로부터 본 발명과 본 발명의 많은 이점을 보다 완전하게 이해할 수 있으며, 또한 첨부 도면과 관련하여 이하의 상세한 설명을 참조함으로써 그러한 본 발명과 본 발명의 많은 이점을 더 잘 이해할 수 있을 것이다.
이하의 설명에서, 본 발명을 완전하게 이해할 수 있도록, 그리고 한정의 의도는 없이 단지 설명을 목적으로, 증착 시스템의 특정 기하형상 등과 같은 특정의 세부 사항 및 여러 부품의 묘사에 대해 설명한다. 그러나 이들 특정의 세부 사항으로부터 벗어나는 다른 실시예로 본 발명을 구현할 수도 있다는 것을 이해해야 한다.
이제 도면을 참조하면, 여러 도면 전체에 걸쳐서 동일하거나 대응하는 부분에는 동일한 도면 부호를 병기하며, 도 1a는, 예컨대 배리어 막(barrier film)과 같은 박막을 예컨대 플라즈마 원자층 증착(PEALD) 공정을 이용하여 기판 상에 증착하기 위한 증착 시스템(101)을 도시하고 있다. BEOL(back-end-of-line) 작업에서 반도체 소자용의 내부 접속(inter-connect) 및 외부 접속(intra-connect) 구조를 금속화하는 동안에, 정합 가능한 배리어 박층을 배선 트렌치 또는 비아(via) 상에 적층하여 금속이 인터-레벨(inver-level) 또는 인트라-레벨(intra-level) 유전체 내로 이동하는 것을 최소화할 수 있고, 정합 가능한 시드 박층을 배선 트렌치 또는 비아 상에 적층하여 벌크 메탈 충전(bulk metal fill)을 위한 적절한 접착 성질을 갖는 막을 제공할 수 있고, 및/또는 정합 가능한 접착 박층을 배선 트렌치 또는 비아 상에 적층하여 금속 시드 증착을 위한 적절한 접착 성질을 갖는 막을 제공할 수 있다. 이들 공정 이외에, 구리와 같은 벌크 메탈을 배선 트렌치 또는 비아 내에 적층해야 한다.
라인 사이즈가 축소함에 따라, PEALD가 그러한 박막용의 유력한 후보로서 떠오르고 있다. 예컨대, PELAD와 같은 자체-제어 ALD 공정을 이용하여 배리어 박층을 바람직하게 구현하는데, 그 이유는 복합적인 높은 종횡비의 피처(feature)에 적절한 적합성을 제공하기 때문이다. 자체-제어 증착 특징을 달성하기 위하여, PEALD 공정은 막 전구체 및 환원 가스와 같은 상이한 공정 가스를 번갈아 포함하여, 제1 단계에서 기판 표면에 막 전구체를 흡수하고, 제2 단계에서 원하는 막을 형성하도록 환원된다. 진공 챔버 내에서 2개의 공정 가스를 번갈아 사용함으로써, 비교적 느린 증착 속도로 증착이 발생한다.
본원의 발명자는, PEALD 공정에 있어서 제1 단계(비플라즈마 단계), 즉 막 전구체 흡수 단계가 작은 공정 공간 부피로 생산량을 증가시키거나 및/또는 공정 가스를 보존할 수 있다는 이점이 있는 반면에, PEALD 공정의 제2 단계(플라즈마 지원 환원 단계) 중에는 균일한 플라즈마를 유지하기 위하여 큰 공정 공간 부피가 필요하다는 것을 확인하였다.
따라서 본원의 관련 출원, 즉 "METHOD AND SYSTEM FOR PERFORMING THERMAL AND PLASMA ENHANCED VAPOR DEPOSITION" 및 "A DEPOSITION SYSTEM AND METHOD FOR PLASMA ENHANCED ATOMIC LAYER DEPOSITION"에서는 상이한 공정 또는 단계에 적합하도록 공정 공간의 사이즈를 변경하는 것을 개시하고 있다.
추가로, 본 발명은, 내부에서 기판이 처리 챔버의 내외로 전달되는 전달 공간(transfer space)으로부터 내부에서 PEALD 공정이 실행되는 공정 공간을 유리하게 분리한다. 공정 공간과 전달 공간의 물리적 격리는 처리된 기판의 오염을 저감시킨다. CVD 및 ALD 공정은 물리적 기상 증착(PVD)과 같은 다른 증착 기술보다 더 오염되기 쉬운 것으로 알려져 있기 때문에, 공정 공간과 전달 공간의 물리적 격리는 오염물이 처리 챔버로부터 중앙 전달 시스템에 결합된 다른 처리 챔버로 운반되는 것을 더욱 억제할 수 있다. 따라서 본 발명의 일 양태는 공정 공간과 전달 공간의 격리를 제공하고 유지한다. 따라서 본 발명의 다른 양태는 공정 공간의 사이즈를 변경시키면서 공정 공간과 전달 공간의 격리를 제공하고 유지한다.
또한, CVD 및 ALD 공정에 사용된 재료는 점진적으로 더욱 복합적으로 되고 있다. 예컨대, 금속 함유 막을 증착할 때에, 금속 할라이드 막 전구체 또는 금속 유기물 막 전구체를 사용한다. 따라서 처리 챔버는 종종 증착 시스템의 벽에 있는 전구체 잔류물 또는 부분적으로 분해된 전구체 잔류물 또는 이들 모두에 의해 오염된다. 그 결과, 공정 웨이퍼를 다른 처리 챔버로 전달하는 진공 상태의 전달 시스템으로부터 증착 시스템을 격리시키기 위하여 진공 버퍼 챔버를 채용하고 있다. 그러나 버퍼 챔버는 전체 조립 공정에 소요되는 비용 및 시간의 증가를 초래한다.
챔버 표면상에서의 막 전구체 잔류물을 줄이는 한 가지 방법은 처리 챔버 내에서 표면의 온도를 전구체 축적이 일어날 수 없는 온도까지 증가시키는 것이다. 그러나 본원의 발명자는 (특히 엘라스토머 시일과 함께 사용될 때) 그러한 고온의 챔버로 인하여 (진공) 처리 챔버의 외측으로부터의 공기, 수증기 및 오염물이 처리 챔버의 시일을 투과하는 것을 확인하였다. 예컨대, 하나의 챔버 요소를 고온으로 유지하면서 다른 챔버 요소를 저온으로 유지하는 경우에, 본원의 발명자는 실링 부재가 통상의 실링 설비에 사용되는 엘라스토머 시일을 포함할 때에 챔버의 외부로부터 처리 챔버의 오염이 증가하는 것을 관찰하였다.
따라서 본 발명의 일 양태는, 처리 중에 처리 챔버의 전달 공간으로부터 공정 공간을 물리적으로 분리하여, 막 전구체의 축적을 감소시키도록 공정 공간 표면을 비교적 고온으로 유지하는 반면에, 전달 공간 영역 내의 오염을 줄이기 위하여 전달 공간 표면을 저온으로 유지한다.
도 1a에 도시된 바와 같이, 본 발명의 일 실시예에 따르면, 증착 시스템(101)은 기판(125)을 지지하도록 구성된 기판 스테이지(120)를 구비한 처리 챔버(110)를 포함하고, 이 기판 스테이지 상에 박막과 같은 재료 증착물이 형성된다. 처리 챔버(110)는 기판 스테이지(120)와 결합될 때에 공정 공간(180)을 구획하도록 구성된 상부 챔버 어셈블리(130)와, 전달 공간(182)을 구획하도록 구성된 하부 챔버 어셈블리(132)를 더 포함한다. 선택적으로, 도 1b에 도시된 바와 같이, 상부 챔버 어셈블리(130)를 하부 챔버 어셈블리(132)에 연결하기 위하여 증착 시스템(101')에 중간 섹션(131; 즉 중간 챔버 어셈블리)을 사용할 수 있다. 또한, 증착 시스템(101)은 제1 공정 재료, 제2 공정 재료 또는 퍼지 가스를 처리 챔버(110)로 도입하도록 구성된 공정 재료 공급 시스템(140)을 포함한다. 또한, 증착 시스템(101)은, 처리 챔버(110)에 결합되어 처리 챔버(110)에 플라즈마를 발생시키도록 구성된 제1 파워 소스(150)와, 기판 스테이지(120)에 결합되고 기판(125)의 온도를 상승 및 제어하도록 구성된 기판 온도 제어 시스템(160)을 포함한다. 또한, 증착 시스템(101)은, 처리 챔버(110) 및 기판 스테이지(120)에 결합되고 기판(125)에 인접한 공정 공간(180)의 부피를 조정하도록 구성된 공정 부피 조정 시스템(122)을 포함한다. 예컨대, 공정 부피 조정 시스템(122)은 기판 스테이지(120)를 기판(125)의 처리를 위한 제1 위치(도 1a 및 도 1b 참조)와 기판을 처리 챔버(110)의 내외로 전달하기 위한 제2 위치(도 2a 및 도 2b 참조) 사이에서 수직으로 병진 이동시키도록 구성될 수 있다.
또한, 증착 시스템(101)은 공정 공간(180)에 결합된 제1 진공 펌프(190)를 포함하며, 여기서 공정 공간(180)으로의 반송 펌핑 속도를 제어하기 위하여 제1 진공 밸브(194)를 사용할 수 있다. 증착 시스템(101)은 전달 공간(182)에 결합된 제2 진공 펌프(192)를 포함하며, 필요에 따라 전달 공간(182)으로부터 제2 진공 펌프(192)를 격리시키기 위하여 제2 진공 밸브(196)를 사용한다.
또한, 증착 시스템(101)은 처리 챔버(110), 기판 홀더(120), 상부 챔버 어셈블리(130), 하부 챔버 어셈블리(132), 공정 재료 공급 시스템(140), 제1 전원(150), 기판 온도 제어 시스템(160), 공정 부피 조정 시스템(122), 제1 진공 펌프(190), 제1 진공 밸브(194), 제2 진공 펌프(192) 및 제2 진공 밸브(196)에 결합될 수 있는 컨트롤러(170)를 포함한다.
증착 시스템(101)은 200 mm 기판, 300 mm 기판, 또는 그 이상의 사이즈를 갖는 기판을 처리하도록 구성될 수 있다. 사실, 증착 시스템은 사이즈와 상관없이 기판, 웨이퍼, LCD를 처리하도록 구성될 수 있는데, 당업자는 이러한 사실을 이해할 수 있을 것이다. 기판은 처리 챔버(110)로 도입될 수 있고, 기판 상승 시스템(도시 생략)을 매개로 기판 홀더(120)의 상면으로, 그리고 상면으로부터 상승될 수 있다.
공정 재료 공급 시스템(140)은 제1 공정 재료 공급 시스템과 제2 공정 재료 공급 시스템을 포함할 수 있고, 이들 공급 시스템은 제1 공정 재료를 처리 챔버(110)로, 제2 공정 재료를 처리 챔버(110)로 번갈아 도입하도록 구성된다. 제1 공정 재료와 제2 공정 재료를 번갈아 도입하는 것은 주기적으로 행해질 수도 있고, 제1 및 제2 공정 재료의 도입 사이의 시간 주기를 가변적으로 하여 비주기적으로 행해질 수도 있다. 예컨대 제1 공정 재료는 기판(125)에 형성된 막에서 발견되는 주요 원자 또는 분자 종을 갖는 조성물과 같은 막 전구체를 포함할 수 있다. 예컨대, 막 전구체는 고상, 액상 또는 기상으로서 시작하여, 기상으로 처리 챔버(110)로 반송될 수 있다. 예컨대 제2 공정 재료는 환원제를 포함할 수 있다. 예컨대 환원제는 고상, 액상 또는 기상으로서 시작하여, 기상으로 처리 챔버(110)로 반송될 수 있다. 기상 막 전구체 및 환원 가스의 예에 대해서는 이하에서 설명한다.
또한, 공정 재료 공급 시스템(140)은, 처리 챔버(110)로의 제1 공정 재료의 도입과 제2 공정 재료의 도입 사이에 처리 챔버(110)로 퍼지 가스를 도입하도록 구성될 수 있는 퍼지 가스 공급 시스템을 더 포함할 수 있다. 퍼지 가스는 희가스(noble gas)(즉, 헬륨, 네온, 아르곤, 크세논, 크립톤), 질소(및 질소 함유 가스), 수소(및 수소 함유 가스)와 같은 불활성 가스를 포함할 수 있다.
공정 가스 공급 시스템(140)은 하나 이상의 재료 공급원, 하나 이상의 압력 제어 장치, 하나 이상의 유량 제어 장치, 하나 이상의 필터, 하나 이상의 밸브, 하나 이상의 유량 센서를 포함할 수 있다. 공정 가스 공급 시스템(140)은 하나 이상의 공정 가스를 플리넘(142; plenum)으로 공급할 수 있고, 이 플리넘을 통하여 가스가 분사판(144)의 복수의 오리피스(146)로 분산될 수 있다. 분사판(144)의 복수의 오리피스(146)는 공정 공간(18) 내에서 공정 가스의 분산을 용이하게 한다. 당업계에 공지되어 있는 샤워헤드 구조를 사용하여 제1 및 제2 공정 가스 재료를 공정 공간(180)으로 균일하게 분산시킬 수 있다. 예시적인 샤워헤드 구조는, 전체 내용이 본 명세서에 참고로 인용되는 계류 중인 미국 특허 출원 공개 2004/0123803과 이전에 참고로 인용한 미국 특허 출원 11/090,255에 상세하게 개시되어 있다.
다시 도 1a를 참조하면, 증착 시스템(101)은 열 원자층 증착(ALD) 공정 또는 열 화학 기상 증착(CVD) 공정과 같은 열 증착 공정(즉, 플라즈마를 이용하지 않는 증착 공정)을 실행하도록 구성될 수 있다. 대안으로, 증착 시스템(101)은, 제1 공정 재료 또는 제2 공정 재료 중 어느 하나가 플라즈마 활성화될 수 있는 플라즈마 증착 공정용으로 구성될 수 있다. 플라즈마 증착 공정은 플라즈마 ALD(PEALD) 공정을 포함할 수도 있고, 플라즈마 CVD(PECVD) 공정을 포함할 수도 있다.
PEALD 공정에 있어서, 막 전구체와 같은 제1 공정 재료와, 환원 가스와 같은 제2 공정 재료는 순차적으로 번갈아 도입되어 기판 상에 박막을 형성한다. 예컨대 PEALD 공정을 이용하여 탄탈-함유 막을 형성할 때에, 막 전구체는 금속 할라이드 (예컨대 탄탈 펜타클로라이드) 또는 유기 금속〔예컨대 Ta(NC(CH3)2C2H5)(N(CH3)2)3; 이하에서는 TAIMATA®로 지칭함; 이에 대한 추가의 설명은 미국 특허 6,593,484에 개시되어 있음〕을 포함할 수 있다. 이 예에서, 환원 가스는 수소, 암모니아(NH3), N2 및 H2, N2H4, NH(CH3)2, 또는 N2H3CH3, 또는 이들의 임의의 조합을 포함할 수 있다.
막 전구체는 기판(125)의 노출면 상에 막 전구체를 흡수할 수 있도록 제1 시간 주기 동안 처리 챔버(110)로 도입된다. 바람직하게는, 재료가 단층으로 흡수된다. 그 후, 처리 챔버(110)에는 제2 시간 주기 동안 퍼지 가스가 퍼지된다. 기판(125) 상에 막 전구체를 흡수한 후에, 제3 시간 주기 동안 처리 챔버(110)로 환원 가스가 도입되고, 제1 파워 소스(150)로부터 예컨대 상부 챔버 어셈블리(130)를 통하여 환원 가스에 파워가 결합된다. 환원 가스에 파워를 결합하면 환원 가스가 가열되어, 환원 가스의 이온화 및 해리를 초래하여, 흡수된 Ta 막 전구체를 환원시키도록 흡수된 Ta 막 전구체와 반응하여 소정의 Ta 함유 막을 형성할 수 있는 예컨대 원자 수소와 같은 해리된 종(dissociated species)을 형성한다. 이러한 사이클은 충분한 두께의 Ta 함유 층을 생성할 때까지 반복될 수 있다.
또한, 제2 공정 재료는 공정 공간(180)의 부피가 V1에서 V2로 증가하는 즉시 또는 그와 동시에 도입될 수 있다. 제1 파워 소스(150)로부터 기판 스테이지(120)를 통하여 제2 공정 재료에 파워가 결합될 수 있다. 제2 공정 재료에 파워를 결합하면 제2 공정 재료가 가열되어, 제2 공정 재료의 이온화 및 해리(즉 플라즈마 형성)를 초래하여, 제1 공정 재료의 흡수된 성분을 환원시킨다. 처리 챔버에는 다른 시간 주기 동안 퍼지 가스가 퍼지될 수 있다. 제1 공정 가스 재료의 도입, 제2 공정 재료의 도입, 그리고 제2 공정 재료가 존재하는 동안의 플라즈마의 형성은 소정 두께의 막을 형성하기 위하여 소정 회수에 걸쳐 반복될 수 있다.
또한, 제1 부피(V1)는 제1 공정 가스 재료가 공정 공간을 통과하고, 일부 비율의 제1 공정 재료가 기판의 표면에 흡수되도록 충분히 작을 수 있다. 공정 공간의 제1 부피가 감소함에 따라, 기판 표면에 흡수될 필요가 있는 제1 공정 재료의 양이 감소하고, 제1 공정 공간 내의 제1 공정 가스를 교환하는 데 필요한 시간이 감소한다. 예컨대, 공정 공간의 제1 부피가 감소함에 따라, 체류 시간이 감소하므로, 제1 시간 주기를 줄일 수 있다.
도 1에 도시된 바와 같이, 공정 공간(180)은 기판 스테이지(120), 이 기판 스테이지(120) 상의 플랜지(302) 및 상부 챔버 어셈블리(130)로부터의 연장부(304)에 의하여 전달 공간(182)으로부터 분리되어 있다. 따라서 공정 공간과 전달 공간 사이를 밀봉하거나 적어도 그 사이에서의 가스 흐름을 방해하도록 연장부(304)의 베이스에 실링 기구가 마련될 수 있는데, 이에 대해서는 후술한다.
이에 따라 공정 공간(180)의 표면은 상승된 온도로 유지되어 그 공간을 둘러싸는 표면상에서 공정 잔류물의 축적을 방지시킬 수 있는 반면에, 전달 공간의 표면은 낮은 온도로 유지되어 (측벽을 포함한) 하부 챔버 어셈블리(132), 중간 섹션(131) 및 상부 챔버 어셈블리(130)의 오염을 억제한다.
이와 관련하여, 본 발명의 일 실시예에 따르면, 공정 공간을 전달 공간으로부터 분리하는 것은 상승된 온도의 상부 챔버 어셈블리(130)를 낮은 온도의 하부 챔버 어셈블리(132)로부터 열적으로 분리하는 것을 포함한다. 열적 분리를 위하여, 연장부(304)는 복사 차폐부(radiation shield)로서 작용할 수 있다. 또한, 내부 채널(312)을 포함한 연장부(304)는 연장부 요소를 가로질러 연장부(304)를 둘러싸는 전달 공간(182) 내로의 열적 유동을 제한하는 열적 임피던스(impedance)로서 작용할 수 있다.
열적 분리의 다른 예에서, 도 1a에 도시된 바와 같이 하부 챔버 어셈블리(132)의 근처 또는 도 1b에 도시된 바와 같이 중간 섹션(131)의 근처에서 상부 챔버 어셈블리(130)에 냉각 채널이 마련될 수도 있고, 중간 섹션(131)에 마련될 수도 있다. 또한, 상부 챔버 어셈블리(130)용 재료와 중간 섹션(131)용 재료의 열전도율은 상이할 수 있다. 예컨대, 상부 챔버 어셈블리(130)는 알루미늄 또는 알루미늄 합금으로 제조될 수 있고, 중간 섹션(131)은 스테인리스강으로 제조될 수 있다. 하부 챔버 어셈블리(132)는 알루미늄 또는 알루미늄 합금으로 제조될 수 있다.
일례에 따르면, 기상 증착 공정을 사용하여 탄탈(Ta), 탄화탄탈, 질화탄탈, 또는 탄소질화 탄탈을 증착할 수 있고, 여기서 TaF5, TaCl5, TaBr5, Tal5, Ta(Co)5, Ta[N(C2H5CH3)]5(PEMAT), Ta[N(CH3)2]5(PDMAT), Ta[N(C2H5)2]5(PDEAT), Ta(NC(CH3)3)(N(C2H5)2)3(TBTDET), Ta(NC2H5)(N(C2H5)2)3, Ta(NC(CH3)2C2H5(N(CH3)2)3, 또는 Ta(NC(CH3)3)(N(CH3)2)3과 같은 Ta 막 전구체는 H2, NH3, N2, 및 H2, N2H4, NH(CH3)2, 또는 N2H3CH3과 같은 환원 가스 또는 플라즈마에 노출된 후에 기판의 표면에 흡수된다.
다른 예에 따르면, 티탄(Ti), 질화티탄, 탄소질화 티탄은 TiF4, TiCl4, TiBr4, Til4, Ti[N(C2H5CH3)]4(TEMAT), Ti[N(CH3)2]4(TDMAT), 또는 Ti[N(C2H5)2]4(TDEAT)와 H2, NH3, N2, 및 H2, N2H4, NH(CH3)2, 또는 N2H3CH3과 같은 환원 가스 또는 플라즈마를 이용하여 증착될 수 있다.
다른 예로서, WF6 또는 W(CO)6과 같은 W 전구체와, H2, NH3, N2, 및 H2, N2H4, NH(CH3)2, 또는 N2H3CH3과 같은 환원 가스 또는 플라즈마를 이용하여 텅스텐(W), 질화 텅스텐, 또는 탄소질화 텅스텐을 증착할 수 있다.
다른 예로서, 몰리브덴 헥사플루오라이드(MoF6)와 같은 Mo 전구체와 H2를 포함한 환원 가스 또는 플라즈마를 이용하여 몰리브덴(Mo)을 증착할 수 있다.
또 다른 예로서, Air Products and Chemicals, Inc의 하나의 독립체인 Schumacher(1969 Palomar Oaks Way, Carlsbad, Calif.92009))로부터 판매되는 등록 상표명 CupraSelect®로서도 공지되어 있는 Cu(TMVS)(hfac)와 같은 Cu 함유 유기금속 성분을 갖는 Cu 전구체와, CuCl과 같은 무기질 성분을 이용하여 Cu를 증착할 수 있다. 환원 가스 또는 플라즈마는 H2, O2, N2, HN3, 또는 H2O 중 적어도 하나를 포함할 수 있다. 본원 명세서에서 사용되고 있듯이, "A, B, C, … 또는 X 중 적어도 하나"라는 표현은 목록에 있는 임의의 원소, 또는 목록에 있는 원소 중 2 이상으로 이루어진 임의의 조합을 지칭하는 것이다.
기상 증착 공정의 다른 예로서, 산화지르코늄을 증착할 때에, Zr 전구체는 Zr(NO3)4 또는 ZrCl4를 포함할 수 있고, 환원 가스는 H2O를 포함할 수 있다.
산화하프늄을 증착할 때에, Hf 전구체는 Hf(OBut)4, Hf(NO3)4 또는 HfCl4를 포함할 수 있고, 환원 가스는 H2O를 포함할 수 있다. 다른 예로서, 하프늄(Hf)을 증착할 때에, Hf 전구체는 HfCl4를 포함할 수 있고, 제2 공정 재료는 H2를 포함할 수 있다.
니오븀(Nb)을 증착할 때에, Nb 전구체는 니오븀 펜타클로라이드(NbCl5)를 포함할 수 있고, 환원 가스는 H2를 포함할 수 있다.
아연(Zn)을 증착할 때에, Zn 전구체는 아연 디클로라이드(ZnCl2)를 포함할 수 있고, 환원 가스는 H2를 포함할 수 있다.
산화규소를 증착할 때에, Si 전구체는 Si(OC2H5)4, SiH2Cl2, SiCl4 또는 Si(NO3)4를 포함할 수 있고, 환원 가스는 H2O 또는 O2를 포함할 수 있다. 다른 예에서, 질화규소를 증착할 때에, Si 전구체는 SiCl4 또는 SiH2Cl2를 포함할 수 있고, 환원 가스는 NH3 또는 N2 및 H2를 포함할 수 있다. 또 다른 예에서, TiN을 증착할 때에, Ti 전구체는 티탄 니트레이트(Ti(NO3))를 포함할 수 있고, 환원 가스는 NH3을 포함할 수 있다.
기상 증착 공정의 다른 예에 따르면, 알루미늄을 증착할 때에, Al 전구체는 알루미늄 클로라이드(Al2Cl6) 또는 트리메틸알루미늄(Al(CH3)3)을 포함할 수 있고, 환원 가스는 H2를 포함할 수 있다. 질화알루미늄을 증착할 때에, Al 전구체는 알루미늄 트리클로라이드 또는 트리메틸알루미늄을 포함할 수 있고, 환원 가스는 NH3 또는 N2 및 H2를 포함할 수 있다. 또 다른 예에서, 산화알루미늄을 증착할 때에, Al 전구체는 알루미늄 클로라이드 또는 트리메틸알루미늄을 포함할 수 있고, 환원 가스는 H2O 또는 O2 및 H2를 포함할 수 있다.
기상 증착 시스템의 다른 예에서, GaN을 증착할 때에, Ga 전구체는 갈륨 니트레이트(Ga(NO3)3) 또는 트리메틸갈륨(Ga(CH3)3)을 포함할 수 있고, 환원 가스는 NH3을 포함할 수 있다.
다양한 재료 층을 형성하기 위한 전술한 예에서, 증착된 공정 재료는 금속 막, 질화금속 막, 탄소질화 금속 막, 산화금속 막 또는 금속 실리케이트 막 중 적어도 하나를 포함할 수 있다. 예컨대, 증착된 공정 재료는 탄탈 막, 질화탄탈 막, 탄소질화 탄탈 막 중 적어도 하나를 포함할 수 있다. 대안으로, 예컨대 증착된 공정 재료는 하나의 금속 라인을 다른 금속 라인에 연결하거나 금속 라인을 반도체 소자의 소스/드레인에 연결하기 위한 비아를 금속화하기 위하여 증착된 Al 막 또는 Cu 막을 포함할 수 있다. Al 또는 Cu 막은 전술한 바와 같은 Al 및 Cu의 전구체를 이용하여 플라즈마 공정을 포함하거나 포함하지 않고 형성될 수 있다. 대안으로, 예컨대, 증착된 공정 재료로는, 반도체 소자의 게이트 구조 또는 금속 라인용으로 전술한 바와 같은 절연층을 형성하기 위하여 증착된 산화지르코늄 막, 산화하프늄 막, 하프늄 실리케이트 막, 산화규소 막, 질화규소 막, 질화티탄 막 및/또는 GaN 막을 포함할 수 있다.
또한, 실리콘계 또는 실리콘 함유 막의 증착을 위한 실리콘 전구체로서 실란 및 디실란을 사용할 수 있다. 게르마늄계 또는 게르마늄 함유 막의 증착을 위한 게르마늄 전구체로서 게르만(germane)을 사용할 수 있다. 따라서 증착된 공정 재료는 예컨대 반도체 소자용의 도전성 게이트 구조를 형성하기 위하여 증착된 금속 실리사이드 막 및/또는 게르마늄 함유 막을 포함할 수 있다.
여전히 도 1a를 참조하면, 증착 시스템(101)은 제1 공정 재료와 제2 공정 재료를 처리 챔버(110) 내로 번갈아서 도입하는 공정의 적어도 일부 동안에 플라즈마를 발생시키도록 구성된 플라즈마 발생 시스템을 포함한다. 플라즈마 발생 시스템은, 처리 챔버(110)에 결합되어 처리 챔버(110) 내의 제1 공정 재료 또는 제2 공정 재료, 제1 공정 재료와 제2 공정 재료 모두에 파워를 결합시키도록 구성된 제1 파워 소스(150)를 포함한다. 제1 파워 소스(150)는 고주파(RF) 발생기와 임피던스 매치 네트워크(도시 생략)를 포함할 수 있고, RF 파워를 처리 챔버(110) 내의 플라즈마에 결합시키는 전극(도시 생략)을 또한 포함할 수 있다. 전극은 기판 스테이지(120)에 형성될 수도 있고, 상부 어셈블리(130)에 형성될 수도 있으며, 기판 스테이지(120)에 대향하도록 형성될 수 있다. 기판 스테이지(120)는 DC 전압에 의해 또는 RF 발생기(도시 생략)로부터 임피던스 네트워크(도시 생략)를 통하여 기판 스테이지(120)로 전달되는 RF 전압에 의해 전기적으로 바이어스될 수 있다.
임피던스 매치 네트워크는 매치 네트워크의 출력 임피던스를 전극과 플라즈마를 포함하는 처리 챔버의 입력 임피던스와 매치시킴으로써 RF 파워를 RF 발생기로부터 플라즈마로 전달하는 것을 최적화하도록 구성될 수 있다. 예컨대, 임피던스 매치 네트워크는 반사된 파워를 감소시킴으로써 플라즈마 처리 챔버(110) 내의 플라즈마에 RF 파워를 전달하는 것을 개선하는 작용을 한다. 매치 네트워크의 형태(예컨대, L-형, π-형, T-형 등)와 자동 제어 방법은 당업자에게 널리 알려져 있는 것이다. RF 파워용의 통상의 주파수는 약 0.1 MHz 내지 약 100 MHz의 범위로 있을 수 있다. 대안으로, RF 주파수는 예컨대 약 400 kHz 내지 약 60 MHz의 범위로 있을 수 있다. 다른 예로서, RF 주파수는 예컨대 약 13.56 또는 27.12 MHz일 수 있다.
다시 도 1a를 참조하면, 증착 시스템(101)은, 기판 스테이지(120)에 결합되고 기판(125)의 온도를 상승시키고 제어하도록 구성된 기판 온도 제어 시스템(160)을 포함한다. 기판 온도 제어 시스템(160)은, 기판 스테이지(120)로부터 열을 수용하고 그 열을 열교환기 시스템(도시 생략)에 전달하거나, 가열 시에 열교환기 시스템으로부터의 열을 전달하는 재순환 냉매 흐름을 포함한 냉매 시스템과 같은 온도 제어 요소를 포함한다. 또한, 온도 제어 요소는 저항성 가열 요소와 가열/냉각 요소를 포함할 수도 있고, 열-전기 히터/냉각기를 기판 홀더(120) 뿐 아니라 처리 챔버(110)의 챔버 벽과 증착 시스템(101) 내의 임의의 다른 구성요소에 구비할 수도 있다. 기판(125)과 기판 스테이지(120) 사이의 열전달을 개선하기 위하여, 기판 스테이지(120)는 기판(125)을 기판 스테이지(120)의 상면에 부착하는 기계적 클램핑 시스템 또는 전자기 클램핑 시스템과 같은 전기 클램핑 시스템을 포함할 수 있다. 또한, 기판 홀더(120)는 기판(125)과 기판 스테이지(120) 사이의 가스-갭 열전도를 개선하기 위하여 기판(125)의 이면측에 가스를 도입하도록 구성된 기판 이면측 가스 이송 시스템을 더 포함할 수 있다. 그러한 시스템은 고온 또는 저온에서 기판의 온도를 제어할 필요가 있을 때에 사용될 수 있다. 예컨대, 기판 이면측 가스 이송 시스템은 두 영역의 가스 분배 시스템을 포함할 수 있으며, 여기서 헬륨 가스 갭 압력은 기판(125)의 중심과 가장자리 사이에서 독립적으로 변경될 수 있다.
또한, 처리 챔버(110)는 제1 진공 펌프(190) 및 제2 진공 펌프(192)에 또한 결합되어 있다. 제1 진공 펌프(190)는 터보-분자 펌프를 포함할 수 있고, 제2 진공 펌프(192)는 극저온 펌프를 포함할 수 있다.
제1 진공 펌프(190)는 약 500 리터/초(및 그 이상)에 이르는 펌핑 속도를 가질 수 있는 터보-분자 진공 펌프(TMP)를 포함할 수 있고, 밸브(194)는 압력 챔버를 스로틀링하는 게이트 밸브를 포함할 수 있다. 건식 플라즈마 에칭에 사용되는 통상의 플라즈마 처리 장치에 있어서는, 1000 내지 3000 리터/초의 펌핑 속도를 갖는 TMP가 일반적으로 사용된다. 또한, 챔버 압력을 모니터링하기 위한 장치(도시 생략)는 처리 챔버(110)에 결합될 수 있다. 압력 측정 장치는, (매사츄세츠주 안도버에 소재하는) MKS Instruments Inc.로부터 상업적으로 판매되는 예컨대 TYPE 628B Baratron 앱솔루트 캐패시턴스 마노미터일 수 있다.
도 1a, 도 1b, 도 2a, 및 도 2b에 도시된 바와 같이 제1 진공 펌프(190)는 기판(125)의 평면 위에 위치하도록 공정 공간(180)에 결합할 수 있다. 그러나, 제1 진공 펌프(190)는 공정 공간에 접근하도록 구성될 수 있어서, 기판(125)의 평면 아래의 위치로부터 공정 공간(180)을 펌핑하여 예컨대 파티클 오염을 억제할 수 있다. 공정 공간(180)에서의 펌핑 위치와 제1 진공 펌프(190)의 입구 사이의 유체 결합은 최대의 유동 전달을 위하여 설계될 수 있다. 대안으로, 공정 공간(180)에서의 펌핑 위치와 제1 진공 펌프(190)의 입구 사이의 유체 결합은 실질적으로 일정한 횡단면적을 위하여 설계될 수 있다.
일 실시예에 따르면, 제1 진공 펌프(190)는 상부 챔버 어셈블리(130)의 위에 위치하고, 그 상면에 결합되어 있다(도 1a 참조). 제1 진공 펌프(190)의 입구(191)는 펌핑 채널(312)과 같은 적어도 하나의 환형 용적부에 결합되고, 이러한 채널은 연장부(304)를 통하여 기판(125)의 평면 아래의 위치에서 공정 공간(180)에 액세스하는 하나 이상의 개구(305)에 결합되어 있다. 하나 이상의 개구(305)는 하나 이상의 슬롯, 하나 이상의 오리피스, 또는 이들의 임의의 조합을 포함할 수 있다.
다른 실시예에 따르면, 제1 진공 펌프(190)는 상부 챔버 어셈블리(130)의 위에 위치하고, 그 상면에 결합되어 있다(도 1a 참조). 제1 진공 펌프(190)의 입구(191)는 제1 환형 용적부에 결합되고, 이 제1 환형 용적부는 제2 환형 용적부에 결합되어 있어서, 제1 환형 용적부와 제2 환형 용적부는 하나 이상의 펌핑 포트를 매개로 결합되어 있다. 제2 환형 용적부는 펌핑 채널(312)에 결합될 수 있고, 이 펌핑 채널은 연장부(304)를 통하여 기판(125)의 평면 아래의 위치에서 공정 공간(180)에 액세스하는 하나 이상의 개구(305)에 결합된다. 예컨대, 하나 이상의 펌핑 포트는 제1 환형 용적부와 제2 환형 용적부 사이에서 서로 직경 방향으로 대향하는(즉, 180° 떨어진) 2개의 관통 구멍을 포함할 수 있다. 그러나, 펌핑 포트의 수는 보다 많거나 적을 수 있으며, 이들 포트의 위치는 변경될 수 있다. 추가로, 예컨대 하나 이상의 개구(305)는 서로 직경 방향으로 대향하는(즉, 180° 떨어진) 2개의 슬롯을 포함할 수 있다. 또한, 각각의 슬롯은 방위각 방향(azimuthal direction)으로 약 120°로 연장될 수 있다. 그러나, 개구(305)의 수는 보다 많거나 적을 수 있으며, 이들 개구의 위치 및 사이즈는 변경될 수 있다.
전술한 바와 같이, 상부 챔버 어셈블리(130)와 하부 챔버 어셈블리(132) 사이의 시일을 잃지 않으면서 공정 공간(180)의 부피를 조절할 수 있는 것이 유리하다. 도 3, 도 4, 도 5 및 도 6은 증착 시스템(101)이 처리 구조로 있을 때에 상부 챔버 어셈블리(130)와 기판 스테이지(120)를 밀봉(및 이동 가능하게 밀봉)하기 위한 여러 실시예를 도시하고 있다. 따라서 시스템은 공정 공간과 전달 공간 사이의 가스 유동을 방해하는 실링 부재를 포함한다. 실제로 일 실시예에 따르면, 실링 부재의 시일은 공정 공간의 진공 환경을 전달 공간의 진공 환경으로부터 분리한다. 공정 공간을 전달 공간으로부터 진공 분리시킴으로써, 시일은 공정 공간과 전달 공간 사이에서의 누설을 10-3 Torr-ℓ/s 미만으로, 바람직하게는 10-4 Torr-ℓ/s 미만으로 줄일 수 있다.
도 3은 기판 스테이지(120)의 플랜지(302)와 상부 챔버 어셈블리(130)로부터의 연장부(304) 사이에 시일을 형성하기 위한 시일 구조를 예시하는 개략적인 다이어그램이다. 도 3에 도시된 바와 같이, 기판 스테이지(120)의 플랜지(302)의 홈(308)에 시일(306)이 위치되어 있다. 시일(306)의 상세 구조에 대해서는 후술하기로 한다. 도 3에 도시된 바와 같이, 시일(306)은 연장부(304)의 바닥 플레이트(310; 시일 플레이트)와 접촉한다. 처리 영역(180)으로부터 펌프(190)로 가스를 배기할 목적으로 연장부(304)에 펌핑 채널(321)이 마련되어 있다. 도 3에 도시된 구조는 적절한 시일을 제공하지만, 시일의 손실 없이 상당한 수직 병진 운동을 수용하지 못한다. 예컨대, 시일이 바닥 플레이트(310)와 접촉하기 전에 대략 시일(306) 두께의 절반에 상응하는 거리 미만의 수직 운동을 견딜 수 있다.
일부 용례에 따르면, 도 3에서 허용하는 것을 넘어서는 병진 운동을 필요로 한다. 그러한 구조의 하나가 도 4에 도시되어 있다. 도 4는 기판 스테이지(120)의 플랜지(302)와 상부 챔버 어셈블리(130)로부터의 연장부(304) 사이에 시일을 형성하기 위한 구조를 예시하는 개략적인 다이어그램이다. 도 4에 도시된 바와 같이, 시일(314)은 수직 방향으로 길다. 도 4의 실시예에 따르면, 시일(314)은 삼각형 단면을 갖고, 그 정점은 바닥 플레이트(310)와 접촉한다.
또한, 본 발명의 일 실시예에 따르면, 바닥 플레이트(310)는 돌발적인 재료 증착물 또는 전술한 플라즈마 발생된 환원제와 같은 플라즈마 종에 대한 노출로부터 시일(314)을 보호하도록 플랜지(302)를 향하여 연장되는 보호 가드(316)를 포함한다. 테이퍼화된 시일(314)과의 접촉점을 향한 기판 스테이지(120)의 운동을 수용하기 위하여, 기판 스테이지(120)의 플랜지(302)에는 오목부(318)가 마련되어 있다. 따라서 도 4에 도시된 구조는 도 3에 도시된 시일 구조보다 큰 병진 운동을 허용한다. 가드(316)를 이용함으로써, 시일(316)을 보호할 수 있고, 재료 증착물 또는 플라즈마 열화에 덜 민감하게 제조할 수 있다.
도 5는 기판 스테이지(120)의 플랜지(302)와 상부 챔버 어셈블리(130)로부터의 연장부(304) 사이에 시일을 형성하기 위한 시일 구조를 도시하는 개략적인 다이억램이다. 도 5에 도시된 시일 구조는 도 3 및 도 4에 도시된 시일 구조보다 수직 방향으로 기판 스테이지(120)의 훨씬 큰 병진 운동을 허용한다. 본 발명의 일 실시예에 따르면, 바닥 플레이트(310)는 접촉 플레이트(322)(즉, 시일 플레이트)를 갖는 벨로우즈 유닛(320)에 연결된다.
이러한 구조에서, 시일(306)을 통한 수직 병진 운동 시의 기판 스테이지(120)는 초기 시일을 형성하도록 접촉 플레이트(322)와 접촉한다. 기판 스테이지(120)가 수직 방향으로 더욱 병진 운동함에 따라, 벨로우즈 유닛(320)은 시일의 손실 없이 추가의 수직 이동을 허용하도록 압축된다. 도 5에 도시된 바와 같이, 도 4의 시일 구조와 유사하게, 본 발명의 일 실시예에 따르면, 돌발적인 재료 증착물로부터 벨로우즈 유닛(320)을 보호하기 위하여 가드(324)가 마련될 수 있다. 스테인리스강과 같은 금속 재료로 구성되는 벨로우즈 유닛(320)은 플라즈마 노출로 인해 열화되지 않는 경향이 있다. 또한 도 4에서와 같이, 기판 스테이지(120)의 플랜지(302)에 오목부(326)가 마련될 수 있다. 가드(324)를 이용함으로써, 벨로우 즈 유닛(320)을 보호할 수 있고, 재료 증착물에 덜 민감하게 될 수 있다.
도 6은 이러한 구조에서, 기판 스테이지(120)의 플랜지(302)와 상부 챔버 어셈블리(130)로부터의 연장부(304) 사이에 시일을 형성하기 위한 시일 구조를 도시하는 개략적인 다이어그램이다. 도 6에 도시된 시일 구조는 도 3 및 도 4에 도시된 시일 구조보다 기판 스테이지(120)의 훨씬 큰 병진 운동을 허용한다. 본 발명의 일 실시예에 따르면, 바닥 플레이트(310)는 슬라이더 유닛(328)에 연결된다. 슬라이더 유닛(328)은 수직 방향으로 연장되는 적어도 하나의 종방향 플레이트(330)를 구비하며, 이 플레이트는 기판 스테이지(120)의 플랜지(302) 상에서 관련 수용 플레이트(332)에 맞물린다.
본 발명의 일 실시예에 따르면, 도 6에 도시된 바와 같이, 시일을 제공하기 위하여 종방향 플레이트(330)와 수용 플레이트(332) 중 어느 하나의 측벽에 시일(334)이 배치되어 있다. 본 발명의 일 실시예에서, 수용 플레이트(332)는 돌발적인 재료 증착물 또는 플라즈마 열화로부터 시일(334)을 보호하기 위하여 플랜지의 오목부(336)에 배치되어 있다. 또한, 시일(334)은 표준 O-링일 수도 있고, 바람직하게는 도 6에 도시된 바와 같이 테이퍼화된 엘라스토머 시일일 수도 있으며, 여기서 예컨대 시일은 삼각형 단면을 갖고, 그 정점은 기판 스테이지(120)의 플랜지(302)와 상부 챔버 어셈블리(130) 사이의 시일 지점에 있다. 도 6에 도시된 시일 구조는 시일의 손실 없이 도 3 및 도 4에 도시된 시일 구조보다 기판 스테이지의 훨씬 큰 병진 운동을 허용한다. 종방향 플레이트(330)는 재료 증착물 또는 플라즈마 열화로부터 시일(334)을 보호한다.
도 4 내지 도 6에 도시된 시일 구조에 있어서, 예컨대 공정 공간(180)의 제2 부피(V2)는, 공정 공간(180)과 하부 챔버 어셈블리(132)의 진공 사이에서 시일의 손실 없이, 제2 공정 재료로부터의 플라즈마 형성에 의해 기판 위에 균일한 플라즈마가 형성되도록 유도하는 부피로 설정될 수 있다. 공정 형태(geometry)에 상당한 균일성의 플라즈마 공정 형태를 제공할 수 있는 본 발명에 따른 능력으로 인하여, 본 발명은 기판을 상이한 처리 시스템 사이에서 운반할 필요 없이 동일 시스템에서 연속적인 공정 또는 공정 단계, 즉 비플라즈마 공정 및 플라즈마 공정 실행할 수 있게 되어, 공정 시간을 절감하고 공정 막 사이의 계면에서의 표면 오염을 줄이고, 결과적인 막에 개선된 재료 특성을 유도할 수 있다.
도 7은 본 발명의 일 실시예에 따른 공정의 공정 흐름도를 도시한다. 도 7의 공정은 도 1-도 2의 처리 시스템에 의해 실행될 수도 있고, 그 외의 다른 적절한 처리 시스템에 의해 실행될 수도 있다. 도 7에 도시된 바와 같이, 단계 710에서, 공정은 처리 시스템의 전달 공간으로부터 진공 격리된 처리 시스템의 공정 공간에 기판을 배치하는 단계를 포함한다. 단계 720에서, 전달 공간으로부터 진공 격리된 상태를 유지하면서 공정 공간 내의 제1 위치 및 제2 위치 중 어느 하나에서 기판을 처리한다. 단계 730에서, 제1 위치 및 제2 위치 중 어느 하나에서 기판에 재료를 증착한다.
도 7은 본 발명의 일 실시예에 따른 공정의 공정 흐름도를 도시한다. 도 7의 공정은 도 1-도 2의 처리 시스템에 의해 실행될 수도 있고, 그 외의 다른 적절한 처리 시스템에 의해 실행될 수도 있다. 도 7에 도시된 바와 같이, 단계 710에 서, 공정은 기상 증착 시스템의 제1 어셈블리를 제1 온도로 유지하는 단계를 포함한다. 단계 720에서, 기상 증착 시스템의 제2 어셈블리를 상기 제1 온도보다 낮은 감소된 온도로 유지한다. 단계 730에서, 제2 어셈블리의 전달 공간으로부터 진공 격리된 제1 어셈블리의 전달 공간에 기판을 배치한다. 단계 740에서, 기판상에 재료를 증착한다. 단계 750에서, 기판을 기상 증착 시스템의 전달 위치로 병진 운동시킨다.
단계 710 및 720에서, 제1 어셈블리는 100℃ 이상의 온도로 유지될 수 있는 반면에, 제2 어셈블리는 100℃ 이하의 온도로 유지될 수 있다. 단계 710 및 720에서, 제1 어셈블리는 50℃ 이상의 온도로 유지될 수 있는 반면에, 제2 어셈블리는 50℃ 이하의 온도로 유지될 수 있다.
단계 740에서, 재료를 증착하기 위하여, 재료의 기상 증착을 위한 공정에 공정 가스 조성물을 도입할 수 있다. 또한, 기상 증착 속도를 향상시키기 위하여 공정 가스 조성물로부터 플라즈마를 형성할 수 있다.
단계 740에서, 증착된 재료는 금속, 산화금속, 질화금속, 탄소질화 금속 또는 금속 실리사이드 중 적어도 하나일 수 있다. 예컨대, 증착된 재료는 탄탈 막, 질화탄탈 막, 탄소질화 탄탈 막 중 적어도 하나일 수 있다.
기상 증착 시스템은 원자층 적층(ALD) 공정, 플라즈마 ALD 공정, 화학 기상 증착(CVD) 공정, 플라즈마 CVD(PECVD) 공정 중 적어도 하나를 위하여 구성될 수 있다.
단계 740에서, 공정 공간 내의 공정 가스에 0.1 내지 100 MHz의 주파수를 갖 는 고주파(RF) 에너지를 인가하여 플라즈마를 형성할 수 있다. 단계 740 중에, RF 파워 서플라이에 전극을 연결하고 이 전극을 RF 에너지를 공정 공간 내로 결합하도록 구성할 수 있다. 본 발명의 일 양태에 있어서, 플라즈마를 형성하기 전에, 플라즈마 균일성에 보다 도움이 되는 상태를 얻기 위하여 공정 공간의 부피를 증가시킬 수 있다. 따라서 단계 740 전에, 기판 스테이지는 기상 증착 공정의 플라즈마 균일성을 향상시키는 소정의 위치로 병진 이동될 수 있다. 예컨대, 기판 스테이지는 플라즈마 균일성이 200 mm 직경의 기판을 가로질러 2%보다 양호하거나, 200 mm 직경의 기판을 가로질러 1%보다 양호하게 되는 소정의 위치로 설정될 수 있다. 대안으로, 예컨대 기판 스테이지는 플라즈마 균일성이 300 mm 직경의 기판을 가로질러 2%보다 양호하거나, 300 mm 직경의 기판을 가로질러 1%보다 양호하게 되는 소정의 위치로 설정될 수 있다.
또한, 재료를 증착한 후에 퍼지 가스를 도입할 수 있다. 또한 퍼지 가스가 존재하든지 존재하지 않든지, 기상 증착 시스템과 기판 중 적어도 하나로부터의 오염물을 방출하도록 기상 증착 시스템에 전자기 파워를 결합할 수 있다. 전자기 파워는 플라즈마, 적외선 또는 레이저의 형태로 기상 증착 시스템에 결합될 수 있다.
다시 도 1을 참조하면, 컨트롤러(170)는 마이크로프로세서, 메모리 및 디지털 I/O 포트를 포함할 수고, 상기 I/O 포트는 증착 시스템(101)에 대한 입력부뿐 아니라 증착 시스템으로부터의 모니터 출력부와 교류하여 이들을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다. 또한, 컨트롤러(170)는 처리 챔버(110), 기판 스테이지(120), 상부 챔버 어셈블리(130), 하부 챔버 어셈블리(132), 공정 재료 공급 시스템(140), 제1 파워 소스(150), 기판 온도 제어 시스템(160), 제1 진공 펌프(190), 제1 진공 밸브(194), 제2 진공 펌프(192), 제2 진공 밸브(196) 및 공정 부피 조정 시스템(122)과 정보를 교환할 수 있다. 예컨대, 에칭 공정 또는 증착 공정을 실시하기 위하여 공정 수단에 따른 증착 시스템(101)의 전술한 구성요소에 대한 입력부를 작용시키도록 메모리에 기억된 프로그램을 사용할 수 있다.
컨트롤러(170)는 마이크로프로세서, 메모리 및 디지털 I/O 포트를 포함할 수고, 상기 I/O 포트는 전술한 재료 증착 공정을 제어하고 모니터하도록 증착 시스템(101; 101')에 대한 입력부뿐 아니라 증착 시스템(101; 101')으로부터의 모니터 출력부와 교류하여 이들을 작동시키기에 충분한 제어 전압을 발생시킬 수 있다. 예컨대, 컨트롤러(170)는 도 7과 관련하여 설명한 단계를 달성하기 위한 실행용 프로그램 명령이 수록된 컴퓨터 판독 가능한 기록 매체를 포함할 수 있다. 또한, 컨트롤러(170)는 처리 챔버(110), 기판 스테이지(120), 상부 챔버 어셈블리(130), 공정 재료 가스 공급 시스템(140), 파워 소스(150), 기판 온도 제어기(160), 제1 진공 펌핑 시스템(190) 및/또는 제2 진공 펌핑 시스템(192)과 결합되어 이들과 정보를 교환할 수 있다. 예컨대, 전술한 비플라즈마 또는 플라즈마 증착 공정 중 하나를 실시하기 위하여 공정 수단에 따른 증착 시스템(101; 101')의 전술한 구성요소에 대한 입력부를 작용시키도록 메모리에 기억된 프로그램을 사용할 수 있다.
컨트롤러(170)의 하나의 예로 미국 텍사스주 오스틴에 소재하는 Dell Corporation에서 입수 가능한 DELL PRECISION WORKSTATION 610이 있다. 그러나 컨트롤러(170)는, 메모리에 수록된 하나 이상의 명령을 하나 이상의 순서로 실행시키 는 프로세서에 응답하여 본 발명의 마이크로프로세서 기초 처리 단계 중 일부 또는 전부를 실행하는 일반적 목적의 컴퓨터 시스템으로서 구현될 수도 있다. 그러한 명령은 하드 디스크 또는 제거 가능한 미디어 드라이브와 같은 다른 컴퓨터 판독 가능한 기록 매체로부터 컨트롤러 메모리로 판독될 수 있다. 메인 메모리에 수록된 명령의 순서를 실행하는 컨트롤러 마이크로프로세서로서 다중 처리 구조의 하나 이상의 프로세서를 또한 채용할 수 있다. 변형예에 따르면, 소프트웨어 명령 대신에, 또는 그와 조합하여 하드-와이어드 회로(hard-wired circuitry)를 사용할 수 있다. 따라서 실시예는 하드웨어 회로 및 소프트웨어의 임의의 특정 조합으로 한정되지 않는다.
컨트롤러(170)는 적어도 하나의 컴퓨터 판독 가능한 기록 매체 또는 컨트롤러 메모리와 같은 메모리를 포함하여 본 발명의 기술에 따라 프로그램된 명령을 유지하고 데이터 구조, 테이블, 레코드 또는 그 외에 본 발명을 구현하는 데에 필요할 수 있는 다른 데이터를 수록한다. 컴퓨터 판독 가능한 기록 매체의 예로는, 콤팩트디스크, 하드디스크, 플로피디스크, 테이프, 광자기디스크, PROMs(EPROM, EEPROM, flash EPROM), DRAM, SRAM, SDRAM, 또는 임의의 다른 자기 매체, 콤팩트디스크(예컨대, CD-ROM), 또는 임의의 다른 광학 매체(펀치 카드, 페이퍼 테이프), 또는 구멍 패턴을 갖는 다른 물리적 매체〔캐리어 웨이브(후술함)〕, 또는 컴퓨터 판독 가능한 임의의 다른 매체가 있다.
본 발명에 따르면, 컨트롤러(170)를 제어하고, 본 발명을 실시하기 위한 장치를 구동하고, 및/또는 컨트롤러를 휴먼 유저와 상호 작요하게 할 수 있는 소프트 웨어가 컴퓨터 판독 가능한 기록 매체 중 임의의 하나 또는 이들의 조합에 수록되어 있다. 그러한 소프트웨어는, 장치 드라이버, 작동 시스템, 개발 툴 및 애플리케이션 소프트웨어를 포함할 수 있지만, 이들로 한정되는 것은 아니다. 그러한 컴퓨터 판독 가능한 기록 매체는 본 발명을 구현할 때에 실행되는 처리 중 일부(처리가 분산되어 있는 경우) 또는 전부를 실행하기 위한 본 발명의 컴퓨터 판독 가능한 물품을 더 포함한다.
본 발명의 컴퓨터 코드 장치는 해석 가능하거나 실행 가능한 코드 메커니즘을 포함할 수 있으며, 이러한 메커니즘은 스크립트, 해석 가능 프로그램, DLL(dynamic link library), 자바 클래스, 완전한 실행 가능한 프로그램을 포함하지만, 이들로 한정되는 것은 아니다. 또한, 본 발명의 처리 단계의 일부는 보다 양호한 성능, 신뢰성 및/또는 비용 절감을 위해 분산될 수 있다.
본원 명세서에서 사용되고 있는 “컴퓨터 판독 가능한 매체”라는 표현은 실행을 위하여 컨트롤러(170)의 프로세서에 명령을 제공하는 데에 관여하는 임의의 매체를 지칭하는 것이다. 컴퓨터 판독 가능한 매체는 비휘발성 매체, 휘발성 매체 및 전송 매체를 포함하여 많은 형태를 포함할 수 있지만, 이들로 한정되는 것은 아니다. 예컨대 비휘발성 매체는 광학 디스크, 자기 디스크, 광자기 디스크, 하드 디스크 또는 제거 가능한 매체 드라이브를 포함한다. 휘발성 매체는 메인 메모리와 같은 동적 메모리를 포함한다. 또한, 실행을 위하여 컨트롤러의 프로세서에 대해 하나 이상의 명령을 하나 이상의 순서로 실행할 때에 다양한 형태의 컴퓨터 판독 가능한 기록 매체가 관련될 수 있다. 예컨대, 명령은 초기에 원격 컴퓨터의 자 기 디스크에 수록될 수 있다. 원격 컴퓨터는 본 발명의 일부 또는 전부를 실현하기 위한 명령을 동적 메모리에 원격 로딩할 수 있고, 그 명령을 네트워크를 통하여 컨트롤러(170)에 보낼 수 있다.
컨트롤러(170)는 증착 시스템(101; 101‘)에 대하여 국부적으로 위치될 수도 있고, 증착 시스템(101)에 대하여 원격 위치에 위치될 수도 있다. 예컨대, 컨트롤러(170)는 직접 접속, 인트라넷, 인터넷 및 무선 접속 중 적어도 하나를 이용하여 증착 시스템(101)과 데이터를 교환할 수 있다. 컨트롤러(170)는 예컨대 고객측(즉, 장치 메이커 등)에서 인트라넷에 결합될 수도 있고, 예컨대 벤더측(즉, 장비 제조업자)에서 인트라넷에 결합될 수도 있다. 또한, 예컨대 컨트롤러(170)는 인터넷에 결합될 수도 있다. 아울러, 다른 컴퓨터(즉, 컨트롤러, 서버 등)는 직접 접속, 인트라넷 및 인터넷 중 적어도 하나를 매개로 하여 데이터를 교환하도록 컨트롤러(170)에 액세스할 수 있다. 당업자가 알 수 있듯이, 컨트롤러(170)는 무선 접속을 매개로 증착 시스템(101’; 101)과 데이터를 교환할 수 있다.
이상에서 단지 본 발명의 특정의 예시적인 실시예를 상세하게 설명하였지만, 당업자는 본 발명의 신규한 교시 내용 및 이점으로부터 실질적으로 벗어나지 않으면서 예시적인 실시예에 대한 많은 변형이 가능하다는 것을 쉽게 알 수 있을 것이다.
본 발명에 따르면, 적합성(conformality), 접착성 및 순도가 결과적인 반도체 소자에 영향을 끼치는 점차 중요한 이슈로 되고 있는 라인 사이즈의 현저한 감 소에 따른 반도체 처리와 관련한 다양한 문제를 해결할 수 있다.
본 발명에 따르면, 후속 증착되거나 처리된 층의 계면 사이에서의 오염 문제를 또한 감소시킬 수 있다.
본 발명에 따르면, 동일 시스템 내에서의 기상 증착 및 샘플 운반에 적합한 구조를 제공할 수 있다.

Claims (39)

  1. 기판상에 증착물을 형성하는 증착 시스템으로서,
    외벽, 재료 증착을 용이하게 하도록 구성된 공정 공간 및 공정 가스를 상기 공정 공간으로 도입하기 위한 분사판을 구비하는 제1 어셈블리;
    상기 제1 어셈블리에 결합되어, 상기 기판을 상기 증착 시스템의 내외로 전달하는 것을 용이하게 하는 전달 공간을 구비하는 제2 어셈블리;
    상기 제2 어셈블리에 접속되어 상기 기판을 지지하도록 구성된 기판 스테이지;
    상기 공정 공간을 상기 전달 공간으로부터 분리하도록 구성된 실링 부재; 및
    상기 외벽 내부에 있으며, 상기 분사판을 둘러싸며, 상기 분사판으로부터 상기 제2 어셈블리를 향하여 세로 방향으로 연장된 상기 제1 어셈블리의 연장 부재
    를 포함하며,
    상기 연장 부재는, 내벽 및 외벽을 가지며, 상기 공정 공간으로부터 공정 가스를 배출하기 위하여 상기 연장 부재의 내벽과 외벽 사이에 포함되는 펌핑 채널을 가지며, 상기 제2 어셈블리와 접촉하지 않으며,
    상기 펌핑 채널은 상기 제1 어셈블리의 상면의 펌핑 포트에 접속되며,
    상기 펌핑 포트는 상기 제1 어셈블리의 중앙과 상기 제1 어셈블리의 외부 주변 사이에 배치되며,
    상기 제1 어셈블리는 제1 온도로 유지되도록 구성되고, 상기 제2 어셈블리는 상기 제1 온도보다 낮은 제2 온도로 유지되도록 구성되는 것인 증착 시스템.
  2. 제1항에 있어서, 상기 제1 어셈블리는 처리 중에 100℃ 이상의 상기 제1 온도로 유지되도록 구성되고, 상기 제2 어셈블리는 100℃ 미만의 상기 제2 온도로 유지되도록 구성되는 것인 증착 시스템.
  3. 제1항에 있어서, 상기 제1 어셈블리는 처리 중에 50℃ 이상의 상기 제1 온도로 유지되도록 구성되고, 상기 제2 어셈블리는 50℃ 미만의 상기 제2 온도로 유지되도록 구성되는 것인 증착 시스템.
  4. 제1항에 있어서, 상기 제1 어셈블리와 제2 어셈블리 사이의 접합부에 인접하여 제1 어셈블리의 바디 내측에 냉매 채널을 더 포함하는 증착 시스템.
  5. 제1항에 있어서, 상기 제1 어셈블리와 제2 어셈블리 사이의 접합부에 인접하여 제2 어셈블리의 바디 내측에 냉매 채널을 더 포함하는 증착 시스템.
  6. 제1항에 있어서,
    상기 제1 어셈블리는 알루미늄 또는 알루미늄 합금 재료를 포함하고,
    상기 제2 어셈블리는 알루미늄 또는 알루미늄 합금 재료를 포함하고,
    상기 제2 어셈블리는 스테인리스강 성분에 의해 상기 제1 어셈블리에 결합되는 것인 증착 시스템.
  7. 제1항에 있어서, 상기 실링 부재는 공정 공간을 전달 공간으로부터 진공 격리시키는 시일을 포함하는 것인 증착 시스템.
  8. 제7항에 있어서, 상기 시일은 공정 공간으로부터 전달 공간으로의 가스 누설을 10-3 Torr-ℓ/s 미만으로 감소시키도록 구성된 것인 증착 시스템.
  9. 제7항에 있어서, 상기 시일은 공정 공간으로부터 전달 공간으로의 가스 누설을 10-4 Torr-ℓ/s 미만으로 감소시키도록 구성된 것인 증착 시스템.
  10. 제1항에 있어서,
    상기 제1 어셈블리에 결합되어, 처리 중에 상기 공정 공간을 배기시키도록 구성된 제1 압력 제어 시스템;
    상기 제2 어셈블리에 결합되어, 상기 전달 공간 내의 오염물 환경을 감소시키도록 구성된 제2 압력 제어 시스템;
    상기 제1 어셈블리에 접속되어, 상기 재료 증착 중에 공정 조성물을 상기 공정 공간으로 도입하도록 구성된 가스 분사 시스템; 및
    상기 기판 스테이지에 결합되어, 상기 기판의 온도를 제어하도록 구성된 온도 제어 시스템을 더 포함하는 증착 시스템.
  11. 제1항에 있어서,
    상기 제1 어셈블리는 증착 시스템의 상부 섹션을 구성하고, 상기 제2 어셈블리는 증착 시스템의 하부 섹션을 구성하며,
    상기 기판 스테이지는 상기 기판을 수직 방향으로 병진 이동시키도록 구성된 것인 증착 시스템.
  12. 제1항에 있어서, 플라즈마 형성을 용이하게 하기 위하여 상기 공정 공간 내의 공정 가스 조성물에 파워(power)를 결합하도록 구성된 파워 소스를 더 포함하는 증착 시스템.
  13. 제12항에 있어서, 상기 파워 소스는 0.1 내지 100 MHz의 주파수의 RF 에너지를 출력하도록 구성된 RF 파워 서플라이를 포함하고,
    상기 기판 스테이지는, RF 파워 서플라이에 접속되어 상기 RF 에너지를 공정 공간 내에 결합하도록 구성된 전극을 포함하는 것인 증착 시스템.
  14. 제1항에 있어서, 상기 연장 부재는 제1 어셈블리와 제2 어셈블리 사이의 복사 차폐부(shield)로서 구성되는 것인 증착 시스템.
  15. 제1항에 있어서, 상기 펌핑 채널은 상기 연장 부재의 길이에 따라서 세로 방향으로 가스 안내부(conductance)를 제공하는 내부 채널을 포함하는 것인 증착 시스템.
  16. 제15항에 있어서, 상기 연장 부재는 공정 공간으로부터 전달 공간으로의 열 흐름에 대한 열적 임피던스(thermal impedance)를 포함하는 것인 증착 시스템.
  17. 제1항에 있어서, 상기 공정 공간은 원자층 증착(ALD) 또는 화학 기상 증착(CVD) 중 하나 이상을 위하여 구성되는 것인 증착 시스템.
  18. 제1항에 있어서, 공정 챔버 내에서의 공정을 제어하도록 구성된 컨트롤러를 더 포함하는 증착 시스템.
  19. 제18항에 있어서, 상기 컨트롤러는
    기상 증착 시스템의 제1 어셈블리를 제1 온도로 유지하고,
    상기 기상 증착 시스템의 제2 어셈블리를 제1 온도보다 낮은 감소된 온도로 유지하고,
    상기 기판을 공정 공간 내에 배치하고,
    상기 기판 상에 재료를 증착하도록 프로그램되어 있는 것인 증착 시스템.
  20. 제1항에 있어서, 상기 연장 부재는 상기 연장 부재의 세로 단부에서의 베이스가 상기 실링 부재와 접촉할 때 상기 전달 공간으로부터 상기 공정 공간을 분리하는 것인 증착 시스템.
  21. 기판 상에 증착물을 형성하는 증착 시스템으로서,
    최외벽, 재료 증착을 용이하게 하도록 구성된 공정 공간 및 공정 가스를 상기 공정 공간으로 도입하기 위한 분사판을 구비하는 제1 어셈블리;
    상기 제1 어셈블리에 결합되어, 상기 기판을 상기 증착 시스템의 내외로 전달하는 것을 용이하게 하는 전달 공간을 구비하는 제2 어셈블리;
    상기 제2 어셈블리에 접속되어 상기 기판을 지지하도록 구성된 기판 스테이지;
    상기 공정 공간을 상기 전달 공간으로부터 분리하도록 구성된 실링 부재; 및
    상기 최외벽 내부에 배치되고 상기 최외벽으로부터 제거되며, 상기 분사판을 둘러싸며, 상기 분사판으로부터 상기 제2 어셈블리를 향하여 세로 방향으로 연장된 상기 제1 어셈블리의 연장 부재
    를 포함하며,
    상기 연장 부재는, 내벽 및 외벽을 가지며, 상기 공정 공간으로부터 공정 가스를 배출하기 위하여 상기 연장 부재의 내벽과 외벽 사이에 포함되는 펌핑 채널을 가지며,
    상기 펌핑 채널은 상기 제1 어셈블리의 상면의 펌핑 포트에 접속되며,
    상기 펌핑 포트는 상기 제1 어셈블리의 중앙과 상기 제1 어셈블리의 외부 주변 사이에 배치되며,
    상기 제1 어셈블리는 제1 온도로 유지되도록 구성되고, 상기 제2 어셈블리는 상기 제1 온도보다 낮은 감소된 온도로 유지되도록 구성되는 것인 증착 시스템.
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
  30. 삭제
  31. 삭제
  32. 삭제
  33. 삭제
  34. 삭제
  35. 삭제
  36. 삭제
  37. 삭제
  38. 삭제
  39. 삭제
KR1020060113812A 2005-11-18 2006-11-17 기판 상에 증착물을 형성하는 증착 시스템 KR101277036B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/281,376 2005-11-18
US11/281,376 US20070116873A1 (en) 2005-11-18 2005-11-18 Apparatus for thermal and plasma enhanced vapor deposition and method of operating

Publications (2)

Publication Number Publication Date
KR20070053142A KR20070053142A (ko) 2007-05-23
KR101277036B1 true KR101277036B1 (ko) 2013-06-25

Family

ID=38053863

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020060113812A KR101277036B1 (ko) 2005-11-18 2006-11-17 기판 상에 증착물을 형성하는 증착 시스템

Country Status (5)

Country Link
US (1) US20070116873A1 (ko)
JP (1) JP5209198B2 (ko)
KR (1) KR101277036B1 (ko)
CN (1) CN101082125B (ko)
TW (1) TWI338324B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190050710A (ko) * 2017-11-03 2019-05-13 에이에스엠 아이피 홀딩 비.브이. 반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법

Families Citing this family (397)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
DE102007063363B4 (de) * 2007-05-21 2016-05-12 Centrotherm Photovoltaics Ag Vorrichtung zur Dotierung und Beschichtung von Halbleitermaterial bei niedrigem Druck
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) * 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US9404180B2 (en) * 2010-03-16 2016-08-02 Tokyo Electron Limited Deposition device
JP2012127386A (ja) * 2010-12-14 2012-07-05 Canon Anelva Corp 真空容器
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5951443B2 (ja) * 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6039996B2 (ja) * 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6029452B2 (ja) * 2012-02-22 2016-11-24 東京エレクトロン株式会社 基板処理装置
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8647485B2 (en) * 2012-03-30 2014-02-11 Applied Materials, Inc. Process kit shield for plasma enhanced processing chamber
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150155187A1 (en) * 2013-12-04 2015-06-04 Lam Research Corporation Annular baffle for pumping from above a plane of the semiconductor wafer support
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6225842B2 (ja) * 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
JP5800964B1 (ja) * 2014-07-22 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法および記録媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5800969B1 (ja) 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6368732B2 (ja) * 2016-03-29 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106582915A (zh) * 2016-12-31 2017-04-26 合肥优亿科机电科技有限公司 一种超净台自动电极探入装置
CN106582914A (zh) * 2016-12-31 2017-04-26 合肥优亿科机电科技有限公司 一种用于超净台的电极探入装置
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE17895903T1 (de) * 2017-02-08 2020-01-16 Picosun Oy Abscheidungs- oder Reinigungsvorrichtung mit beweglicher Struktur und Verfahren zum Betrieb
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) * 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US20200181770A1 (en) * 2018-12-05 2020-06-11 Asm Ip Holding B.V. Method of forming a structure including silicon nitride on titanium nitride and structure formed using the method
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
TW202101638A (zh) * 2019-03-15 2021-01-01 美商蘭姆研究公司 用於蝕刻反應器的渦輪分子泵及陰極組件
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) * 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11427910B2 (en) * 2020-10-20 2022-08-30 Sky Tech Inc. Atomic layer deposition equipment capable of reducing precursor deposition and atomic layer deposition process method using the same
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115773471A (zh) * 2022-11-24 2023-03-10 江苏微导纳米科技股份有限公司 原子层沉积设备及其方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
KR20010025958A (ko) * 1999-09-02 2001-04-06 황철주 Pecvd 장비 및 다중 클러스터 타입의 증착장비
KR20030002776A (ko) * 2001-06-29 2003-01-09 주식회사 하이닉스반도체 박막 증착 장비

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4166783A (en) * 1978-04-17 1979-09-04 Varian Associates, Inc. Deposition rate regulation by computer control of sputtering systems
US4778559A (en) * 1986-10-15 1988-10-18 Advantage Production Technology Semiconductor substrate heater and reactor process and apparatus
US5096110A (en) * 1990-12-17 1992-03-17 Ford Motor Company Control system for vacuum brazing process
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5223001A (en) * 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6182851B1 (en) * 1998-09-10 2001-02-06 Applied Materials Inc. Vacuum processing chambers and method for producing
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
DE19919326A1 (de) * 1999-04-28 2000-11-02 Leybold Systems Gmbh Kammer für eine chemische Dampfbeschichtung
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
WO2002033729A2 (en) * 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US20020144784A1 (en) * 2001-04-06 2002-10-10 Curry Don E. Wafer processing apparatus having a chamber with an upper wall having gas supply openings formed therein which promote more even processing of a wafer
US6537421B2 (en) * 2001-07-24 2003-03-25 Tokyo Electron Limited RF bias control in plasma deposition and etch systems with multiple RF power sources
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
JP2004014952A (ja) * 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP3933035B2 (ja) * 2002-11-06 2007-06-20 富士ゼロックス株式会社 カーボンナノチューブの製造装置および製造方法
TW589396B (en) * 2003-01-07 2004-06-01 Arima Optoelectronics Corp Chemical vapor deposition reactor
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
KR20010025958A (ko) * 1999-09-02 2001-04-06 황철주 Pecvd 장비 및 다중 클러스터 타입의 증착장비
KR20030002776A (ko) * 2001-06-29 2003-01-09 주식회사 하이닉스반도체 박막 증착 장비

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190050710A (ko) * 2017-11-03 2019-05-13 에이에스엠 아이피 홀딩 비.브이. 반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법
KR102377033B1 (ko) * 2017-11-03 2022-03-21 에이에스엠 아이피 홀딩 비.브이. 반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법

Also Published As

Publication number Publication date
TW200735185A (en) 2007-09-16
JP2007177323A (ja) 2007-07-12
TWI338324B (en) 2011-03-01
CN101082125A (zh) 2007-12-05
KR20070053142A (ko) 2007-05-23
CN101082125B (zh) 2013-03-06
JP5209198B2 (ja) 2013-06-12
US20070116873A1 (en) 2007-05-24

Similar Documents

Publication Publication Date Title
KR101277036B1 (ko) 기판 상에 증착물을 형성하는 증착 시스템
US7794546B2 (en) Sealing device and method for a processing system
US8454749B2 (en) Method and system for sealing a first assembly to a second assembly of a processing system
US7897217B2 (en) Method and system for performing plasma enhanced atomic layer deposition
US7740705B2 (en) Exhaust apparatus configured to reduce particle contamination in a deposition system
JP5209197B2 (ja) 熱およびプラズマ増強蒸着のための装置および操作方法
US7670432B2 (en) Exhaust system for a vacuum processing system
JP5101868B2 (ja) シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム
US7422636B2 (en) Plasma enhanced atomic layer deposition system having reduced contamination
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US7651568B2 (en) Plasma enhanced atomic layer deposition system
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7338901B2 (en) Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US20060213437A1 (en) Plasma enhanced atomic layer deposition system
CN101205605B (zh) 用于热增强和等离子体增强气相沉积的装置及操作方法
KR101281863B1 (ko) 증착 시스템

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee