CN101082125A - 用于热和等离子体增强气相沉积的设备和其操作方法 - Google Patents

用于热和等离子体增强气相沉积的设备和其操作方法 Download PDF

Info

Publication number
CN101082125A
CN101082125A CNA2006101403132A CN200610140313A CN101082125A CN 101082125 A CN101082125 A CN 101082125A CN A2006101403132 A CNA2006101403132 A CN A2006101403132A CN 200610140313 A CN200610140313 A CN 200610140313A CN 101082125 A CN101082125 A CN 101082125A
Authority
CN
China
Prior art keywords
assembly
deposition
substrate
space
processing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006101403132A
Other languages
English (en)
Other versions
CN101082125B (zh
Inventor
李一成
石坂忠大
山本薰
五味淳
原正道
藤里敏章
雅克·法盖特
水泽宁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101082125A publication Critical patent/CN101082125A/zh
Application granted granted Critical
Publication of CN101082125B publication Critical patent/CN101082125B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45517Confinement of gases to vicinity of substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Plasma & Fusion (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Physical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明涉及一种用于在衬底上进行气相沉积的方法、计算机可读介质和系统,包括:将气相沉积系统的第一组件保持在第一温度;将气相沉积系统的第二组件保持在低于第一温度的降低的温度;将衬底布置在第一组件的处理空间中,所述处理空间与第二组件的转移空间真空隔离;以及将材料沉积在衬底上。因此,所述系统包括第一组件,其具有配置来便于材料沉积的处理空间;第二组件,其被耦合到第一组件,并且具有便于将衬底转入或者转出沉积系统的转移空间;衬底台,其连接到所述第二组件,并且配置来支撑衬底;以及密封部件,其被配置来将处理空间与转移空间隔离。第一组件被配置为保持在第一温度下,第二组件被配置为保持在低于第一温度的降低温度下。

Description

用于热和等离子体增强气相沉积的设备和其操作方法
相关申请交叉引用
本申请涉及题为“A PLASMA ENCHANCED ATOMIC LAYERDEPOSTION SYSTEM”的美国专利申请No.11/090255(律师卷号No.267366US,客户卷号No.TTCA19),其全部内容通过引用被包含于此。本申请涉及题为“A DEPOSITION SYSTEM AND METHOD”的美国专利申请No.11/084176(律师卷号No.265595US,客户卷号No.TTCA24),其全部内容通过引用被包含于此。本申请涉及题为“A PLASMAENHANCED ATOMIC LAYER DEPOSITION SYSTEM HAVINGREDUCED CONTAMINATION”的美国专利申请(客户卷号No.TTCA27),其全部内容通过引用被包含于此。本申请涉及题为“METHOD AND SYSTEM FOR PERFORMING THERMAL ANDPLASMA ENHANCED VAPOR DEPOSITION”的美国专利申请(律师卷号No.2274017US,客户卷号No.TTCA54),其全部内容通过引用被包含于此。本申请涉及题为“A DEPOSITION SYSTEM AND METHOD FORPLASMA ENHANCED ATOMIC LAYER DEPOSITION”的美国专利申请(律师卷号No.2274020US,客户卷号No.TTCA55),其全部内容通过引用被包含于此。本申请涉及题为“METHOD AND SYSTEM FORSEALING A FIRST CHAMBER PORTION TO A SECOND CHAMBERPORTION OF A PROCESSING SYSTEM”的美国专利申请(律师卷号No.2274016US,客户卷号No.TTCA63),其全部内容通过引用被包含于此。
技术领域
本发明涉及沉积系统及其操作方法,更具体地,涉及具有用于材料沉积和转移的多个独立区域的沉积系统。
背景技术
通常,在材料处理过程中,当制备复合材料结构时,等离子体常常被用于促进材料膜的添加和去除。例如,在半导体处理中,干法等离子体刻蚀处理常常被用于沿着在硅衬底上图案化的精细的线或者在硅衬底上图案化的过孔或接触中去除或者刻蚀材料。或者,例如,气相沉积处理被用于沿着硅衬底上的精细的线或者在硅衬底上的过孔或接触中沉积材料。在后者中,气相沉积处理包括化学气相沉积(CVD)以及等离子体增强化学气相沉积(PECVD)。
在PECVD中,等离子体被用于改变或者增强膜沉积机制。例如,等离子体激发通常允许成膜反应在明显低于通过热激发CVD制备类似的膜而通常所需的温度的温度下进行。此外,等离子体激发可以活化在能量上或者动力学上不利于热CVD的成膜化学反应。通过调节处理参数,PECVD膜的化学和物理性质由此可以在较宽的范围内变化。
最近,原子层沉积(ALD)和等离子体增强ALD(PEALD)已经作为在生产线前端(FEOL)操作中用于超薄栅极膜形成以及在生产线后端(BEOL)操作中用于金属化的超薄阻挡层和晶种层形成的候选方案出现。在ALD中,两种或者多种处理气体,诸如膜前驱体和还原气体,被交替和顺序地引入,同时衬底被加热以便一次形成一个单层地形成材料膜。在PEALD中,在引入还原气体过程中形成等离子体,以形成还原等离子体。现在,ALD和PEALD处理已被证明提供均一性改善的层厚度和改善的与其上沉积该层的特征的保形性,但是,这些处理比与其相应的CVD和PECVD慢。
发明内容
本发明的一个目的在于解决在其中保形性、粘附性和纯度变成越来越重要的、影响所得半导体器件的问题的不断减小的线尺寸的情况下,半导体处理中的各种问题。
本发明的另一个目的是减小随后沉积或处理的层之间的界面的污染问题。
本发明的另一个目的是提供适于在同一系统中进行气相沉积和样品转移的配置。
通过本发明的特定实施例提供了对于本发明的这些和/或其它目的的变化。
在本发明的一个实施例中,提供了一种用于在气相沉积系统中在衬底上进行材料沉积的方法,来处理衬底,所述方法包括:将气相沉积系统的第一组件保持在第一温度;将气相沉积系统的第二组件保持在低于第一温度的降低的温度;将衬底布置在第一组件的处理空间中,所述处理空间与第二组件的转移空间真空隔离;以及将材料沉积在衬底上。
在本发明的另一个实施例中,提供了一种用于在衬底上形成沉积物的沉积系统,所述系统包括:第一组件,其具有配置来便于材料沉积的处理空间;第二组件,其被耦合到第一组件,并且具有便于将衬底转入或者转出沉积系统的转移空间;衬底台,其连接到所述第二组件,并且配置来支撑衬底;以及密封组件,其被配置来将处理空间与转移空间隔离。第一组件被配置为保持在第一温度下,第二组件被配置为保持在低于第一温度的降低温度下。
附图说明
在附图中,将容易获得对于本发明以及其伴随的优点的更全面的理解,同时,通过参考下面的详细描述并且在结合附图考虑时,可以更好地理解本发明以及其伴随的优点,在附图中:
图1描绘了根据本发明的一个实施例的沉积系统的示意图;
图2描绘了根据本发明的一个实施例的图1的沉积系统的示意图,其中,在较低样品台位置处方便地进行样品转移;
图3描绘了根据本发明的一个实施例的密封机构的示意图;
图4描绘了根据本发明的一个实施例的另一个密封结构的示意图;
图5描绘了根据本发明的一个实施例的另一个密封结构的示意图;
图6描绘了根据本发明的一个实施例的另一个密封结构的示意图;和
图7示出了根据本发明的一个实施例的处理的处理流程图。
具体实施方式
在下面的描述中,为了便于充分理解本发明并且为了解释而非限制的目的,阐明了许多具体的细节,诸如沉积系统的具体几何尺寸和对于各种组件的描述。但是应该理解,可以用其它与这些具体细节不同的实施例来实施本发明。
现在参考附图,其中在整个的几张附图中,相似的标号表示相同或者相应的部件,图1A图示了沉积系统101,其用于利用例如等离子体增强原子层沉积(PEALD)处理在衬底上沉积诸如阻挡膜的薄膜。在生产线后端(BEOL)操作中对于半导体器件的互连结构和内连结构的金属化过程中,薄的保形阻挡层可以被沉积在配线沟槽或者过孔上,以使金属到层间或者层内电介质中的迁移最小化,薄的保形晶种层可以被沉积在配线沟槽或者过孔上,以为块体金属(bulk metal)填充提供具有可接收的粘附性的膜,和/或薄的保形粘附层可以被沉积在配线沟槽或者过孔上,以为金属晶种沉积提供具有可接收的粘附性的膜。除了这些处理之外,诸如铜的块体金属必须被沉积在配线沟槽或者过孔内。
随着线尺寸的缩小,PECVD已经成为用于上述薄膜的首要候选方案。例如,薄的阻挡层优选使用自限制ALD处理(诸如PEALD)来执行,因为其提供了对于复杂的、大高宽比特征的可接受的保形性。为了实现自限制沉积特性,PEALD处理包括交替提供不同的处理气体(诸如膜前驱体和还原气体),由此,在第一步骤中膜前驱体被吸附到衬底表面,然后在第二步骤中被还原以形成所希望的膜。由于在真空室中交替提供两种处理气体,所以沉积以较慢的沉积速率进行。
本发明人已经认识到了小的处理空间体积可以有利于PEALD处理中的第一(非等离子体)步骤,即膜前驱体吸附,从而提供生产能力和/或保持处理气体,而在PEALD处理中的第二(等离子体辅助还原)步骤期间,要求较大的处理空间体积,以维持均一的等离子体。
因此,在相关申请“METHOD AND SYSTEM FOR PERFORMINGTHERMALAND PIASMA ENHANCED VAPOR DEPOSITION”和“ADEPOSTION SYSTEM AND METHOD FOR PALSMA ENHANCEDATOMIC LAYER DEPOSITION”中描述了改变处理空间的大小,以适应不同的处理或者步骤。
此外,本发明还将处理空间与转移空间适当地分离,其中,PEALD处理在所述处理空间中执行,衬底在所述转移空间中转进和转出处理室。处理空间和转移空间的物理隔离减小了对于已经处理的衬底的污染。因为已知CVD和ALD处理比诸如物理气相沉积(PVD)之类的其它沉积技术“更脏”,所以处理空间和转移空间的物理隔离可以进一步减小污染物从处理室到耦合到中央转移系统的其它处理室的输运。因此,本发明的一个方面提供和保持处理空间与转移空间的隔离。因此,本发明的另一个方面提供和保持处理空间与转移空间的隔离,同时改变处理空间的大小。
此外,用于CVD和ALD处理的材料变得越来越复杂。例如,当沉积含金属膜时,金属卤化物膜前驱体或者金属有机膜前驱体被使用。因此,处理室常常在沉积系统的壁上被前驱体残余物或者部分分解的前驱体残余物或者这两者污染。结果,真空缓冲室已经被用来将沉积系统与真空的转移系统隔离,其中,所述转移系统将处理晶片转移到其它的处理室。然而,缓冲室给整个制造处理增加了更多的成本和耗时。
一种用于减小室表面上的膜前驱体残余物的方法是将处理室中的表面温度升高到不能发生前驱体积聚的温度点。但是,本发明人已经认识到,这样的高温室(尤其是当和弹性体密封件一起使用时)可能导致来自处理室(真空)外部的空气和水蒸气(并因而导致污染物)渗透穿过处理室的密封。例如,当将一个室组件保持在升高的温度下,而将另一个室组件保持下较低温度下时,发明人已经观察到,当密封部件包含用于常规密封方案的弹性体密封件时,在处理室中来自室外部的污染物增加。
因此,本发明的另一个方面是在处理期间将处理室的处理空间与转移空间物理隔离,由此将处理空间表面保持在较高的温度下以减小膜前驱体的积聚,同时将转移空间表面保持在较低的温度下以减少转移空间区域中的污染物。
如图1A所示,在本发明的一个实施例中,沉积系统101包括处理室110,处理室110具有配置来支撑衬底125的衬底台120,诸如薄膜的材料沉积物被形成在该衬底125上。处理室110还包括上部室组件130和下部室组件132,上部室组件130被配置来当与衬底台120耦合时定义出处理空间180,下部室组件132配置来定义转移空间182。可选地,如图1B所示,中间部分131(即,中间室组件)可以用于沉积系统101’,来将上部室组件130连接到下部室组件132。此外,沉积系统101包括处理材料供应系统140,其被配置来将第一处理材料、第二处理材料或者净化气体引入到处理室110。此外,沉积系统101包括:第一功率源150,其被耦合到处理室110并且配置来在处理室110中产生等离子体;以及衬底温度控制系统160,其被耦合到衬底台120并且配置来升高和控制衬底125的温度。此外,沉积系统101包括处理体积调节系统122,其被耦合到处理室110和衬底支座120,并且被配置来调节紧邻衬底125的处理空间180的体积。例如,处理体积调节系统122可以被配置来在用于处理衬底125的第一位置(参见图1A和1B)和用于将衬底125转移进和转移出处理室110的第二位置(参见图2A和2B)之间垂直移动衬底支座120。
此外,沉积系统101包括耦合到处理空间180的第一真空泵190,其中第一真空阀194用于控制被输送到处理空间180的抽吸速率。沉积系统101包括耦合到转移空间182的第二真空泵192,其中,第二真空阀196用于在必要时将第二真空泵192与转移空间182隔离。
另外,沉积系统101包括可以被耦合到处理室110的控制器170、衬底支座120、上部组件130、下部组件132、处理材料供应系统140、第一功率源150、衬底温度控制系统160、处理体积调节系统122、第一真空泵190、第一真空阀194、第二真空泵192、以及第二真空阀196。
沉积系统101可以被配置来处理200mm的衬底、300mm的衬底或者更大尺寸的衬底。事实上,如本领域技术人员将理解的,可以想到该沉积系统可以被配置来处理不管什么尺寸的衬底、晶片或者LCD。衬底可以被引入到处理室110,并且可以通过衬底抬升系统(没有示出)被抬升到衬底支座120的上表面以及被从所述上表面抬升。
处理材料供应系统140可以包括第一处理材料供应系统和第二处理材料供应系统,它们被配置来交替地向处理室110引入第一处理材料和向处理室110引入第二处理材料。第一处理材料和第二处理材料的交替引入可以是周期性的,或者,其可以是非周期性的,其中在第一和第二处理材料的引入之间存在可变的时间段。第一处理材料可以例如包括膜前驱体,诸如具有在衬底125上形成的膜中出现的主要原子或者分子种类的化合物。例如,膜前驱体可以开始为固相、液相或者气相,并且其可以以气相输送到处理室110中。第二处理材料可以例如包括还原剂。例如,还原剂可以开始为固相、液相或者气相,并且其可以以气相输送到处理室110中。气体膜前驱体和还原气体的实例在下面给出。
此外,处理材料供应系统140还可以包括净化气体供应系统,其可以被配置来在分别将第一处理材料引入到处理室110和将第二处理材料引入到处理室110之间将净化气体引入到处理室110。净化气体可以包括惰性气体,诸如稀有气体(诸如,氦、氖、氩、氙、氪)、或者氮气(以及含氮气体)、或者氢气(以及含氢气体)。
处理气体供应系统140可以包括一个或者多个材料源、一个或者多个压力控制装置、一个或者多个流量控制装置、一个或者多个过滤器、一个或者多个阀、或者一个或者多个流量传感器。处理气体供应系统140可以将一种或多种处理气体供应到增压室142,通过增压室142,气体被分散到注入板(injection plate)144中的多个喷嘴146。注入板144中的多个喷嘴146有利于处理气体在处理空间180中的分配。如本领域公知,喷淋头设计可以被用于将第一和第二处理气体材料均匀地分配到处理空间180中。示例性喷淋头在待决的美国专利申请公开号20040123803(其全部内容通过全文引用被包含于此)以及在前面通过引用包含的美国专利号11/0902,55中有更详细的描述
回过头参考图1A,沉积系统101可以被配置来执行热沉积处理(即,不使用等离子体的沉积处理),诸如热原子层沉积(ALD)处理或者热化学气相沉积沉积(CVD)处理。或者,沉积系统101可以被配置用于等离子体增强沉积处理,其中,或者第一处理材料或者第二处理材料可以被等离子活化。等离子体增强沉积处理可以包括等离子体ALD(PEALD)处理,或者其可以包括等离子体增强CVD(PECVD)处理。
在PEALD处理中,诸如膜前驱体的第一处理材料和诸如还原气体的第二处理材料被顺序地并交替地引入以在衬底上形成薄膜。例如,当使用PEALD处理制备含钽膜时,膜前驱体可以包括金属卤化物(例如,五氯化钽),或者金属有机物(例如,Ta(NC(CH3)2C2H5)(N(CH3)2)3;此后称为TAIMATA;更多的细节参见美国专利号6593484)。在此实例中,还原气体可以包括氢气、氨气(NH3)、N2和H2、N2H4、NH(CH3)2、或N2H3CH3、或其任意组合。
膜前驱体被引入被引入到处理室110,持续第一时间段,以便使得膜前驱体吸附在衬底125的暴露表面上。优选地,发生材料的单层吸附。此后,处理室110用净化气体净化,持续第二时间段。在膜前驱体吸附在衬底125上之后,还原气体被引入到处理室110,持续第三时间段,同时功率通过例如上部组件130从第一功率源150耦合到还原气体。功率到还原气体的耦合加热了还原气体,由此使得还原气体电离和分解,以便形成例如多种分解物质(诸如原子氢),其可以与吸附的Ta膜前驱体反应,以还原吸附的Ta膜前驱体,形成所期望的含Ta膜。此循环可以被重复,直到产生足够厚度的含Ta层。
此外,可以在其中处理空间180的体积被从V1增加到V2的时刻的同时或者非常接近该时刻,将第二处理材料引入。功率可以通过衬底台120从第一功率源150耦合到第二处理材料。功率到第二处理材料的耦合加热了第二处理材料,由此使得第二处理材料的电离和分解(即,等离子体形成),以便还原第一处理材料的被吸附组分。处理室可以用净化气体净化另一个时间段。第一处理气体材料的引入、第二处理材料的引入、以及在第二处理材料存在的同时等离子体的形成可以被重复任何次数,以产生所期望的厚度的膜。
而且,第一体积(V1)可以足够小,使得第一处理气体材料穿过处理空间,并且第一处理材料的一部分吸附在衬底的表面上。随着处理空间的第一体积减小,对于衬底表面上的吸附所必需的第一处理材料的量减小,并且在第一处理空间中交换第一处理材料所需的时间减小。例如,随着处理空间的第一体积减小,驻留时间减小,因此允许第一时间段缩短。
如图1所示,衬底台120、衬底台120上的凸缘302、以及自上部室组件130的延伸部304将处理空间180与转移空间182隔离。因此,在延伸部304的底部可以存在密封机构,用于密封或者至少阻碍处理空间和转移空间(将在后面详细讨论)之间的气流。于是,处理空间180的表面可以被保持在升高的温度下,以防止围绕该空间的表面上的处理残余物的积聚,同时,转移空间的表面可以被保持在降低的温度下,以减小下部组件132(包括侧壁)和中间部分131以及上部组件130的污染。
这样,在本发明的一个实施例中,处理空间与转移空间的隔离包括具有升高温度的上部室组件130与降低温度的下部室组件132的热隔离。对于热隔离,延伸部304可以充当辐射屏蔽。而且,包括内部通道312的延伸部304可以充当热阻,其限制热流穿过延伸元件进入到围绕延伸部304的转移空间182。
在热隔离的另一个实例中,冷却通道可以在上部室组件130中被设置在下部室组件132附近(如图1A所示)或者中间部分131附近(如图1B所示),或者可以设置在中间部分131中。此外,用于上部室组件130和中间部分131的材料的导热率可以不同。例如,上部室组件130可以由铝或者铝合金制成,中间部分131可以由不锈钢制成。下部室组件132可以由铝或者铝合金制成。
在一个实例中,气相沉积处理可以用于沉积钽(Ta)、碳化钽、氮化钽、或者碳氮化钽,其中,Ta膜前驱体,诸如TaF5、TaCl5、TaBr5、TaI5、Ta(CO)5、Ta[N(C2H5CH3)]5(PEMAT)、Ta[N(CH3)2]5(PDMAT)、Ta[N(C2H5)2]5 (PDEAT)、Ta(NC(CH3)3)(N(C2H5)2)3 (TBTDET)、Ta(NC2H5)3)(N(C2H5)2)3、Ta(NC(CH3)2C2H5)(N(CH3)2)3  或Ta(NC(CH3)3)(N(CH3)2)3,被吸附到衬底表面,之后暴露于还原气体或者等离子体,诸如H2、NH3、N2和H2、N2H4、NH(CH3)2、或N2H3CH3
在另一个实例中,可以使用Ti前驱体(诸如TiF4、TiCl4、TiBr4、TiI4、Ti[N(C2H5CH3)]4(TEMAT)、Ti[N(CH3)2]4(TDMAT)或Ti[N(C2H5)2]4(TDEAT))以及包括H2、NH3、N2和H2、N2H4、NH(CH3)2、或N2H3CH3的还原气体或者等离子体,来沉积钛(Ti)、氮化钛、或者碳氮化钛。
在另一个实例中,可以使用Wu前驱体(诸如WF6或W(CO)6)以及包括H2、NH3、N2和H2、N2H4、NH(CH3)2、或N2H3CH3的还原气体或者等离子体,来沉积钨(W)、氮化钨、或者碳氮化钨。
在另一个实施例中,可以使用诸如六氟化钼(MoF6)的Mo前驱体以及包括H2的还原气体或者等离子体,来沉积钼(Mo)。
在另一个实例中,可以使用具有含铜有机金属化合物的Cu前驱体(诸如Cu(TMVS)(hfac),也以商品名CupraSelect而被人所知,其可从Air Pruducts and Chemicals,Inc.,1969 Palomar Oaks Way,Carlsbad Calif.92009的一个单位Schumacher获得)或者无机化合物(诸如CuCl)来沉积Cu。还原气体或者等离子体可以包括H2、O2、N2、NH3、或H2O中的至少一种。如在本文中所使用的,术语“A、B、C、......或X中的至少一种”是指所列出的元素中的任何一种或者所列出的元素的多于一种的任何组合。
在气相沉积处理的另一个实施例中,当沉积氧化锆时,Zr前驱体可以包括Zr(NO3)4或者ZrCl4,并且还原气体可以包括H2O。
当沉积氧化铪时,Hf前驱体可以包括Hf(OBut)4、Hf(NO3)4、或者HfCl4,并且还原气体可以包括H2。在另一个实施例中,当沉积铪(Hf)时,Hf前驱体可以包括HfCl4,并且第二处理材料可以包括H2
当沉积铌(Nb)时,Nb前驱体可以包括五氯化铌(NbCl5),并且还原气体可以包括H2
当沉积锌(Zn)时,Zn前驱体可以包括二氯化锌(ZnCl2),并且还原气体可以包括H2
当沉积氧化硅时,Si前驱体可以包括Si(OC2H5)4、SiH2Cl2、SiCl4、或者Si(NO3)4,并且还原气体可以包括H2O或者O2。在另一个实例中,当沉积氮化硅时,Si前驱体可以包括SiCl4、或者SiH2Cl2,并且还原气体可以包括NH3或者N2和H2。在另一个实例中,当沉积TiN时,Ti前驱体可以包括硝酸钛Ti(NO3),并且还原气体可以包括NH3
在气相沉积处理的另一个实例中,当沉积铝时,Al前驱体可以包括氯化铝(Al2Cl6)或者三甲基铝(Al(CH3)3),并且还原气体可以包括H2。当沉积氮化铝时,Al前驱体可以包括三氯化铝(AlCl3)或者三甲基铝,并且还原气体可以包括NH3或者N2和H2。在另一个实例中,沉积氧化铝时,Al前驱体可以包括氯化铝或者三甲基铝,并且还原气体可以包括H2O或者O2和H2
在气相沉积处理的另一个实例中,当沉积GaN时,Ga前驱体可以包括硝酸镓(Ga(NO3)3)或者三甲基镓(Ga(CH3)3),并且还原气体可以包括NH3
在上面给出的用于形成各种材料层的实例中,所沉积的处理材料可以包括金属膜、金属氮化物膜、金属碳氮化物膜、金属氧化物膜、或者金属硅酸盐膜中的至少一种。例如,所沉积的处理材料可以包括钽膜、氮化钽膜、或者碳氮化钽膜中的至少一种。或者,例如,所沉积的处理材料可以包括例如Al膜或者Cu膜,其被沉积来金属化过孔,用于将一个金属线连接到另一个金属线,或者用于将金属线连接到半导体器件的源极/漏极接触。Al或者Cu膜可以使用上述的Al和Cu的前驱体利用或者不利用等离子体处理来形成。或者,例如,所沉积的处理材料可以包括氧化锆膜、氧化铪膜、硅酸铪膜、氧化硅膜、氮化硅膜、氮化钛膜、和/或GaN膜,这些膜被沉积来形成绝缘层,诸如用于金属线上方的或者半导体器件的栅极结构的绝缘层。
此外,甲硅烷和乙硅烷可以用作硅前驱体,用于沉积硅基的或者含硅的膜。锗烷可以用作锗前驱体,用于沉积锗基的或者含锗的膜。因此,所沉积的处理材料可以包括金属硅化物膜和/或含锗膜,其被沉积例如来形成用于半导体器件的导电栅极结构。
仍然参考图1A,沉积系统101包括等离子体发生系统,其被配置来在将第一处理材料和第二处理材料交替引入到处理室110的至少一部分期间生成等离子体。等离子体发生系统可以包括第一功率源150,其被耦合到处理室110,并且被配置来将功率耦合到处理室110中第一处理材料或者第二处理材料、或者两者。第一功率源150可以包括射频(RF)发生器和阻抗匹配网络(没有示出),并且可以还包括电极(没有示出),RF功率通过该电极被耦合到处理室110中的等离子体。电极可以被形成在衬底台120中,或者可以被形成在上部组件130中并可以被配置为与衬底台120相对。衬底台120可以用DC电压加电偏压,或者可以经由从RF发生器(没有示出)通过阻抗匹配网络(没有示出)到衬底台120的RF功率转输而处于RF电压下。
阻抗匹配网络可以被配置来通过使匹配网络的输出阻抗与处理室(包括电极和等离子体)的输入阻抗匹配,而优化RF功率从RF发生器到等离子体的传输。例如,阻抗匹配网络用于通过减小被反射的功率而提高RF功率到等离子体处理室110中的等离子体的传输。匹配网络拓扑(例如,L型、π型、T型等)和自动控制方法对于本领域技术人员是公知的。RF功率的典型频率的范围可以从约0.1MHz到约100MHz。或者,RF频率的范围可以例如从约400 kHz到约60MHz。作为另一个实例,RF频率的范围可以例如为约13.56或者27.12MHz。
仍然参考图1A,沉积系统101包括衬底温度控制系统160,其被耦合到衬底台120并被配置来升高和控制衬底125的温度。衬底温度控制系统160包括温度控制元件,诸如冷却系统,该冷却系统包括再循环冷却剂流,该冷却剂流接收来自衬底台120的热并且将热传输到热交换系统(没有示出),或者当加热时,从热交换系统传输热。此外,温度控制元件可以包括加热/冷却元件,诸如电阻加热元件,或者,热电加热器/冷却器可以被包括再衬底支座120以及处理室110的室壁和沉积系统101中的任何其它部件中。
为了改善衬底125和衬底台120之间的热传输,衬底台120可以包括机械夹持系统或者电夹持系统(诸如静电夹持系统),用于将衬底固定到衬底台120的上表面。此外,衬底支座120可以还包括衬底背面气体输送系统,其被配置来将气体引入到衬底125的背面,以便提高衬底125和衬底台120之间的气隙热传导。当要求在升高或者降低的温度下进行温度控制时,可以使用这样的系统。例如,衬底背面气体系统可以包括两区气体分配系统,其中,在衬底125的中心和边缘之间可以独立地改变氦气隙压强。
此外,处理室110还被耦合到第一真空泵190和第二真空泵192。第一真空泵190可以包括涡轮分子泵,并且第二真空泵192可以包括低温泵。
第一真空泵190可以包括其抽吸速率能够达到约5000升/秒(以及更大)的涡轮分子泵(TMP),并且阀194可以包括用于节流室压强的闸门阀。在用于干法等离子体刻蚀的常规等离子体处理装置中,通常使用1000到3000升/秒的TMP。而且,用于监控室压强的装置(没有示出)可以被耦合到处理室110。压强测量装置可以例如是可从MKS Instruments,Inc.(Andover,MA)商购的Type 628B Baratron绝对容量压力计。
如图1A、1B、2A和2B中所示,第一真空泵190可以被耦合到处理空间180,使其位于衬底125平面的上方。但是,第一真空泵190可以被配置来连通处理空间180,使其从衬底125平面的下方的位置抽吸处理空间180,以便例如减少粒子污染物。从处理空间180抽吸的位置和到第一真空泵190的入口之间的流体耦合可以被设计为最大流量传导。或者,从处理空间180抽吸的位置和到第一真空泵190的入口之间的流体耦合可以被设计为基本恒定的横截面积。
在一个实施例中,第一真空泵190位于上部室组件130上方,并且被耦合到其上表面(见图1A)。第一真空泵190的入口191被耦合到至少一个环形空间,诸如抽吸通道312,所述抽吸通道312通过延伸部304耦合到一个或者多个开口305,所述开口305在衬底125平面的下方的位置处连通处理空间180。一个或多个开口305可以包括一个或者多个狭缝、一个或者多个喷嘴、或者其任意组合。
在另一个实施例中,第一真空泵190位于上部室组件130上方,并且被耦合到其上表面(见图1A)。第一真空泵190的入口191被耦合到第一环形空间,所述第一环形空间又耦合到第二环形空间,由此,第一环形空间和第二环形空间可以经由一个或者多个抽吸端口被耦合。第二环形空间可以耦合到抽吸通道312,所述抽吸通道312通过延伸部304耦合到一个或者多个开口305,所述开口305在衬底125平面的下方的位置处连通处理空间180。例如,一个或者多个抽吸端口可以包括第一环形空间和第二环形空间之间两个彼此径直相对(即,相隔180度)的通孔。但是,抽吸端口的数量可以更多或者更少,并且其位置可以变化。此外,例如,一个或者多个开口305可以包括两个彼此径直相对(即,相隔180度)的狭缝。此外,每一个狭缝可以沿方位角方向延伸大约120度。但是,开口305的数量可以更多或者更少,并且其位置和大小可以变化。
如上所述,理想的是能够调节处理空间180的体积,而不损失上部室组件130和下部室组件132之间的密封。图3、4、5和6图示了当沉积系统101处于处理配置时用上部室组件130密封(和可移动密封)衬底台120的几个实施例。因此,系统包括阻碍处理空间和转移空间之间的气体流动的密封构件。实际上,在一个实施例中,密封构件的密封将处理空间的真空环境与转移空间的真空环境隔离。通过将处理空间与转移空间真空隔离,该密封能够将处理空间和转移空间之间的泄漏减小到小于10-3Torr-l/S,并且优选小于10-4Torr-1/S。
图3是图示了用于在衬底台120的凸缘302和自上部室组件130的延伸部304之间产生密封的密封配置的示意图。如图3所示,密封件306位于衬底台120的凸缘302的槽308中。密封件306的细节将在下面被描述。如图3所示,密封件306与延伸部304的底板310(即,密封板)接触。抽吸通道312被设置在延伸部304中,用于将气体从处理区域180排空到泵190。图3所示的配置提供了足够的密封,但不能满足较大的垂直移动并且不损失密封的要求。例如,在密封松脱与底板310的接触之前,仅仅小于相当于密封件306厚度的大致一半的距离的垂直移动可以被容许。
在一些应用中,大于图3中所允许的移动是所希望的。一个这样的配置被示于图4中。图4是图示了用于在衬底台120的凸缘302和自上部室组件130的延伸部304之间的产生密封的密封配置。如图4所示,密封件314沿垂直方向是狭长的。在图4的实施例中,密封件314具有三角形截面,所述三角形的顶点接触底板310。
此外,在本发明的一个实施例中,底板310包括保护引导件316,该保护引导件316朝向凸缘302延伸,以保护密封件314不受有害的材料沉积或者不被暴露于诸如上面提及的等离子体生成还原剂的等离子体物质的。为了容许衬底台120向上到与逐渐变细的密封件314接触点的运动,凹槽318被设置在衬底台120的凸缘302中。这样,图4所示的配置允许比图3中所示的密封配置更大的移动。通过使用引导件316,密封件314可以受到保护,并且可以不那么容易受到材料沉积或者等离子体劣化的影响。
图5是图示了用于在衬底台120的凸缘302和自上部室组件130的延伸部304之间产生密封的密封配置的示意图。图5中所描绘的密封配置在垂直方向上允许比图3和4中所示的密封配置更大的衬底台120移动。在本发明的一个实施例中,底板310连接到可伸缩单元320,该可伸缩单元320具有接触板322(即,密封板)。
在此配置中,衬底台120在垂直移动时通过密封件306接触接触板322,以形成初始的密封。当衬底台120进一步垂直移动时,可伸缩单元320的压缩允许进一步的垂直移动,而不会损失密封。如图5所示,类似于图4的密封配置,引导件324可以被设置在本发明的一个实施例中,以保护可伸缩单元320不受有害沉积的影响。可伸缩单元320是诸如不锈钢的金属材料,其将不容易暴露到等离子体而劣化。此外,如图4中那样,凹槽326可以被设置在衬底台120的凸缘302中。通过使用引导件324,可伸缩单元320可以受到保护并且可以不那么容易受到材料沉积的影响。
图6是图示了用于在衬底台120的凸缘302和自上部室组件130的延伸部304之间产生密封的密封配置的示意图。图6中所描绘的密封配置在垂直方向上允许比图3和4中所示的密封配置甚至更大的衬底台120的移动。在本发明的一个实施例中,底板310连接到滑动器单元328。滑动器单元328具有至少一个沿垂直方向延伸的纵向板330,所述纵向板330与衬底台120的凸缘302上的相关接收板332配合。
在本发明的一个实施例中,如图6所示,在纵向板330或者接收板332的侧壁上布置有密封件334,以提供密封。在本发明的一个实施例中,接收板332被布置在凸缘的凹槽336中,以便保护密封件334不受有害材料沉积或者等离子体劣化的影响。此外,密封件334可以是标准的O形环,或者优选地,如图6所示的逐渐变细的弹性体密封件,其中,密封件例如具有三角形截面,其顶点处在衬底台120的凸缘302和上部室组件130之间的密封点处。图6中所描绘的密封配置允许比图3和4中所示的密封配置更大的衬底台120的移动,而不会损失密封。纵向板330提供对于密封件334的保护,使其不受材料沉积或者等离子体劣化的影响。
在图4-6中所示的密封配置中,例如,处理空间180的第二体积(V2)可以被设为这样的体积,在该体积中,由第二处理材料形成等离子体,导致在衬底上方形成均一的等离子体,而不会损失处理空间180和下部组件132的真空之间的密封。根据本发明的能够提供与处理几何形状相当的均一性的等离子体处理几何形状的能力允许本发明在同一系统中执行连续的多个处理或者处理步骤(即,非等离子体和等离子体处理),而不需要在不同的处理系统中转移衬底,由此节省了处理时间并且减少了在处理膜之间的界面处的表面污染,使得所得的膜的材料性能提高。
图7示出了根据本发明的一个实施例的处理的处理流程图。图7的处理可以由图1-2的处理系统或者任何其它合适的处理系统来执行。如图7中所示,在步骤710,该处理包括将衬底放置在处理系统的处理空间中,该处理空间是与处理系统的转移空间隔离的真空。在步骤720,在处理空间中的第一位置或者第二位置处理衬底,同时保持与转移空间隔离的真空。在步骤730,材料被沉积在处于第一位置或者第二位置上的衬底上。
图7示出了根据本发明的一个实施例的处理的处理流程图。图7的处理可以由图1-2的处理系统或者任何其它合适的处理系统来执行。如图7中所示,在步骤710,该处理包括将气相沉积系统的第一组件保持在第一温度。在步骤720,气相沉积系统的第二组件被保持在低于第一温度的降低温度下。在步骤730,将衬底放置在第一组件的处理空间中,该处理空间是与第二组件中的转移空间隔离的真空。在步骤740,材料被沉积在衬底上。在步骤750,衬底被移动到气相沉积系统中的转移位置。
在步骤710和720,第一组件可以被保持在大于或者等于100℃,而第二组件可以被保持在小于或者等于100℃。在步骤710和720,第一组件可以被保持在大于或者等于50℃,而第二组件可以被保持在小于或者等于50℃。
在步骤740,为了沉积材料,处理气体化合物可以被引入到该处理中,用于气相沉积该材料。此外,可以由处理气体化合物形成等离子体,以提高气相沉积速率。
在步骤740,所沉积的材料可以是金属、金属氧化物、金属氮化物、金属碳氮物或者金属硅化物中的至少一种。例如,所沉积的材料可以是钽膜、氮化钽膜、或者碳氮化钽膜中的至少一种。
气相沉积系统可以被配置用于原子层沉积(ALD)处理、等离子体增强ALD处理、化学气相沉积(CVD)处理、或者等离子体增强CVD(PECVD)处理中的至少一种。
在步骤740,可以通过将射频(RF)能量以0.1到100 MHz的频率施加到处理空间中的处理气体,来形成等离子体。在步骤740的过程中,电极可以被连接到RF功率供应装置,并且被配置来将RF能量耦合到处理空间中。在本发明的一个方面,在形成等离子体之前,处理空间的体积被增大,以有利于对于等离子体均一性更有益的条件。因此,在步骤740之前,衬底台可以被移动到提高气相沉积处理的等离子体均一性的位置。例如,衬底台可以被设置在如下的位置,其中,等离子体均一性在200mm直径的衬底上优于2%或者在200mm直径的衬底上优于1%。或者,例如,衬底台可以被设置在如下的位置,其中,等离子体均一性在300mm直径的衬底上优于2%或者在300mm直径的衬底上优于1%。
此外,在沉积材料之后可以引入净化气体。而且,在有或者没有净化气体的存在下,电磁功率可以被耦合到气相沉积系统,以将污染物从气相沉积系统或者衬底中的至少之一脱离。电磁功率可以以等离子体、紫外光或者激光的形式被耦合到气相沉积系统。
仍然参考图1,控制器170可以包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活到沉积系统1 01的输入以及监视来自沉积系统101的输出。此外,控制器170可以与处理室110、衬底台120、上部组件130、下部室组件132、处理材料供应系统140、第一功率源150、衬底温度控制系统160、第一真空泵190、第一真空阀194、第二真空泵192、第二真空阀196、以及处理体积调节系统122交换信息。例如,存储在存储器中的程序可以被用于根据处理方案激活到沉积系统101的前述组件的输入,以执行刻蚀处理或者沉积处理。
控制器170可以包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活到沉积系统101(101’)的输入以及监视来自沉积系统101(101’)的输出,以便控制和监视上面讨论的用于材料沉积的处理。例如,控制器170可以包括计算机可读介质,该计算机可读介质包含用于执行来完成上面结合图7所讨论的步骤的程序指令。此外,控制器170可以耦合到处理室110、衬底台120、上部组件130、处理材料气体供应系统140、功率源150、衬底温度控制器160、第一真空泵系统190、和/或第二真空泵系统192,并且可以与它们交换信息。例如,存储在存储器中的程序可以被用于根据处理方案激活到沉积系统101(101’)的前述组件的输入,以执行上面讨论的非等离子体或者等离子体增强沉积处理中的一种。
控制器170的一个实例是可从Texas的Austin的Dell Corporation得到的Dell Precision Workstation 610TM。但是,控制器170可以被实现为通用计算机系统,该通用计算机系统响应于执行一个或者多个包含在存储器中的一条或者多条指令的序列的处理器,执行基于本发明的处理步骤中的一部分或者全部微处理器。这样的指令可以被从另一个计算机可读介质(诸如硬盘或者可移动介质驱动器)读入到控制器存储器中。处于多处理布置的一个或者多个处理器也可以被用作控制器微处理器,以执行包含在主存储器中的指令序列。在可选的实施例中,硬线电路可以用于代替软件指令或者与软件指令组合。因此,实施例不限于硬件电路和软件的任何特定组合。
控制器170包括至少一种计算机可读介质或者存储器,诸如控制器存储器,用于保持根据本发明的教导编程的指令或者用于保存数据结构、表、记录、或者可能对于实施本发明必要的其它数据。计算机可读介质的实例包括光盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、闪存EPROM)、DRAM、SRAM、SDRAM或者任何其他磁介质、光盘(例如CD-ROM)、或者任何其他光介质、穿孔卡片、纸带、或者具有孔图案的其他物理介质、载波(将在下面描述)、或者计算机可以读取的任何其他介质。
本发明包括存储在计算机可读介质中的任何一种或其组合上的软件,该软件用于控制控制器170,驱动用于实现本发明的一个或多个设备、和/或用于使控制器能够与人类用户交互。这种软件可以包括但不限于设备驱动器、操作系统、开发工具和应用软件。这种计算机可读介质还包括用于执行在实现本发明中执行的处理的全部或一部分(如果处理是分布式的话)的本发明的计算机程序产品。
本发明的计算机代码设备可以是任何可解释的或可执行的代码机构,包括但不限于脚本、可解释程序、动态链接库(DLL)、Java类和完全可执行程序。此外,本发明的一部分处理可以是分布式的以实现更好的性能、可靠性和/或成本。
这里所用的术语“计算机可读介质”指参与向控制器170的处理器提供指令以供执行的任何介质。计算机可读介质可以采取许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质例如包括光盘、磁盘和磁光盘,如硬盘或可移动介质驱动器。易失性介质包括动态存储器,如主存储器。而且,计算机可读介质的各种形式可用于承载提供给控制器的处理器以供执行的一条或多条指令的一个或多个序列。例如,指令可以首先承载在远程计算机的磁盘上。远程计算机可以将用于实现本发明的全部或一部分的指令远程加载到动态存储器中,并利用经由网络将指令发送到控制器170。
控制器170可以相对于沉积系统101(101’)位于本地,或者,其可以相对于沉积系统101位于远程。例如,控制器170可以利用直接连接、内部互联网、因特网和无线连接中的至少一种与沉积系统101交换数据。控制器170可以例如在消费者站点(即,器件制造商,等)处耦合到内部互联网,或者其可以例如在出售商站点(即,设备制造商)处耦合到内部互联网。此外,例如,控制器170可以被耦合到因特网。此外,另一个计算机(即,控制器、服务器,等)可以访问例如控制器170,以经由直接连接、内部互联网和因特网中的至少一种交换数据。如本领域技术人员可以理解的,控制器170可以通过无线连接与沉积系统101(101’)交换数据。
以上详细只是详细描述了本发明的某些实施例,但是本领域技术人员很容易意识到,在实质上不脱离本发明的新颖教导和优点的前提下可以对示例性实施例进行许多修改。

Claims (39)

1.一种用于在衬底上形成沉积物的沉积系统,包括:
第一组件,其具有配置来便于材料沉积的处理空间;
第二组件,其被耦合到所述第一组件,并且具有便于将所述衬底转入或者转出所述沉积系统的转移空间;
衬底台,其连接到所述第二组件,并且配置来支撑所述衬底;
密封部件,其被配置来将所述处理空间与所述转移空间隔离;
其中,所述第一组件被配置为保持在第一温度下,所述第二组件被配置为保持在低于所述第一温度的降低温度下。
2.如权利要求1所述的沉积系统,其中,所述第一组件被配置为在处理过程中保持在大于或者等于100℃的所述第一温度下,所述第二组件被配置为保持在小于100℃的所述第二温度下。
3.如权利要求1所述的沉积系统,其中,所述第一组件被配置为在处理过程中保持在大于或者等于50℃的所述第一温度下,所述第二组件被配置为保持在小于50℃的所述第二温度下。
4.如权利要求1所述的沉积系统,还包括:
冷却剂通道,其处在所述第一组件的主体内部靠近所述第一组件和所述第二组件之间的结合部。
5.如权利要求1所述的沉积系统,还包括:
冷却剂通道,其处在所述第二组件的主体内部靠近所述第一组件和所述第二组件之间的结合部。
6.如权利要求1所述的沉积系统,其中,
所述第一组件包括铝或者铝合金材料;
所述第二组件包括铝或者铝合金材料;以及
所述第二组件通过不锈钢部件结合到所述第一组件。
7.如权利要求1所述的沉积系统,其中,所述密封组件包括用于将所述处理空间与所述转移空间真空隔离的密封件。
8.如权利要求7所述的沉积系统,其中,所述密封件被配置来将从所述处理空间到所述转移空间的气体泄漏减小到小于10-3Torr-l/s。
9.如权利要求7所述的沉积系统,其中,所述密封被配置来将从所述处理空间到所述转移空间的气体泄漏减小到小于10-4Torr-l/s。
10.如权利要求1所述的沉积系统,还包括:
第一压力控制系统,其耦合到所述第一组件,并被配置来在处理过程中排空所述处理空间;
第二压力控制系统,其耦合到所述第二组件,并且被配置来在所述转移空间中提供污染物减少的环境;
气体注入系统,其连接到所述第一组件,并且被配置来在所述材料沉积过程中将处理化合物引入到所述处理空间;以及
温度控制系统,其耦合到所述衬底台,并且被配置来控制所述衬底的温度。
11.如权利要求1所述的沉积系统,其中:
所述第一组件包括所述沉积系统的上部,并且所述第二组件包括所述沉积系统的下部;以及
所述衬底台被配置来在垂直方向上移动所述衬底。
12.如权利要求1所述的沉积系统,还包括:
功率源,其被配置来将功率耦合到所述处理空间中的处理气体化合物,以促进等离子体形成。
13.如权利要求1所述的沉积系统,其中:
所述功率源包括RF功率供应装置,其被配置来以0.1到100MHz的频率输出RF能量;以及
所述衬底台包括电极,所述电极连接到所述RF功率供应装置,并且被配置来将所述RF能量耦合到所述处理空间中。
14.如权利要求1所述的沉积系统,其中,所述第一组件包括:
从所述第一组件延伸出的延伸部,用于将所述处理空间与所述转移空间隔离。
15.如权利要求14所述的沉积系统,其中,所述延伸部被配置为所述第一组件和所述第二之间之间的辐射屏蔽。
16.如权利要求14所述的沉积系统,其中,所述延伸部包括内部通道,所述通道提供从延伸部靠近所述衬底台的第一侧到纵向位于所述延伸部与所述第一侧相对一端的第二侧的气体导通。
17.如权利要求16所述的沉积系统,其中,所述延伸部包括对于从所述处理空间到所述转移空间的热流的热阻。
18.如权利要求1所述的沉积系统,其中,所述处理空间被配置用于原子层沉积或者化学气相沉积中的至少一种。
19.如权利要求1所述的沉积系统,还包括:
控制器,其被配置来控制所述处理室中的处理。
20.如权利要求19所述的沉积系统,其中,所述控制器被编程来:
将所述气相沉积系统的第一组件保持在第一温度;
将所述气相沉积系统的第二组件保持在低于所述第一温度的降低的温度;
将所述衬底布置在所述处理空间中;以及
将材料沉积在所述衬底上。
21.一种用于在气相沉积系统中的衬底上的材料沉积的方法,包括:
将所述气相沉积系统的第一组件保持在第一温度;
将所述气相沉积系统的第二组件保持在低于所述第一温度的降低的温度;
将所述衬底布置在所述第一组件的处理空间中,所述处理空间与所述第二组件的转移空间真空隔离;以及
将材料沉积在所述衬底上。
22.如权利要求21所述的方法,还包括:
将所述第一组件保持为大于或者等于100℃;以及
将所述第二组件保持为小于100℃。
23.如权利要求21所述的方法,还包括:
将所述第一组件保持为大于或者等于50℃;以及
将所述第二组件保持为小于50℃。
24.如权利要求21所述的方法,其中,沉积材料包括:
将处理气体化合物引入到所述处理空间中,以进行气相沉积。
25.如权利要求21所述的方法,其中,沉积材料包括:
将处理气体化合物引入到所述处理空间中,以进行等离子体增强气相沉积;以及
由所述处理气体化合物形成等离子体。
26.如权利要求21所述的方法,其中,沉积材料包括:
沉积钽膜、碳化钽膜、氮化钽膜、或碳氮化钽膜中的至少一种。
27.如权利要求21所述的方法,其中,沉积材料包括:
沉积金属、金属碳化物膜、金属氧化物膜、金属氮化物膜、金属碳氮化物膜、或者金属硅化物膜中的至少一种,或者沉积这些膜中的任何一种的组合。
28.如权利要求21所述的方法,其中,所述布置包括将所述衬底布置在室中,所述室被配置来执行原子层沉积处理、等离子体增强原子层沉积处理、化学气相沉积处理、或者等离子体增强化学气相沉积处理中的至少一种。
29.如权利要求28所述的方法,其中,沉积材料包括:
利用所述原子层沉积处理沉积第一膜;以及
利用所述等离子体增强化学气相沉积处理或者所述等离子体增强原子层沉积处理沉积第二膜。
30.如权利要求28所述的方法,其中,沉积材料包括:
利用所述化学气相沉积处理沉积第一膜;以及
利用所述等离子体增强化学气相沉积处理或者所述等离子体增强原子层沉积处理沉积第二膜。
31.如权利要求28所述的方法,其中,沉积材料包括:
利用所述原子层沉积处理沉积第一膜;以及
利用所述化学气相沉积处理沉积第二膜。
32.如权利要求21所述的方法,其中,沉积材料包括:
以0.1到100MHz的频率将RF能量施加到所述处理空间中的处理气体。
33.如权利要求21所述的方法,还包括:
在所述沉积材料之后引入净化气体。
34.如权利要求21所述的方法,还包括:
将衬底台移动到提高所沉积的材料的均一性的位置。
35.如权利要求21所述的方法,其中,沉积材料包括:
将保持所述衬底的衬底台的位置设置在如下位置,在该位置上,在300mm直径的所述衬底台上,所述处理空间中的等离子体均一性优于2%。;以及
形成等离子体,以在所述衬底上进行材料沉积。
36.如权利要求35所述的方法,其中,所述设置包括:
将所述衬底台设置在如下位置,在该位置上,在300mm直径的所述衬底台上,所述等离子体均一性优于1%。
37.如权利要求21所述的方法,其中,布置所述衬底包括:
将所述衬底布置在处理室中,所述处理室具有小于10-3Torr-l/s的从所述处理空间到所述转移空间的气体泄漏。
38.如权利要求21所述的方法,其中,布置所述衬底包括:
将所述衬底布置在处理室中,所述处理室具有小于10-4Torr-l/s的从所述处理空间到所述转移空间的气体泄漏。
39.一种计算机可读介质,其包含用于在衬底处理系统处理器上执行的程序指令,当所述处理器执行所述程序指令时,使得所述衬底处理系统执行如权利要求21-28中所述的步骤中的任意一个。
CN2006101403132A 2005-11-18 2006-11-20 用于热和等离子体增强气相沉积的设备 Expired - Fee Related CN101082125B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/281,376 2005-11-18
US11/281,376 US20070116873A1 (en) 2005-11-18 2005-11-18 Apparatus for thermal and plasma enhanced vapor deposition and method of operating

Publications (2)

Publication Number Publication Date
CN101082125A true CN101082125A (zh) 2007-12-05
CN101082125B CN101082125B (zh) 2013-03-06

Family

ID=38053863

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006101403132A Expired - Fee Related CN101082125B (zh) 2005-11-18 2006-11-20 用于热和等离子体增强气相沉积的设备

Country Status (5)

Country Link
US (1) US20070116873A1 (zh)
JP (1) JP5209198B2 (zh)
KR (1) KR101277036B1 (zh)
CN (1) CN101082125B (zh)
TW (1) TWI338324B (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102308362A (zh) * 2009-02-09 2012-01-04 Asm美国公司 半导体处理室中减少污染的方法及其装置
CN104081512A (zh) * 2011-11-23 2014-10-01 Asmip控股有限公司 腔室密封构件
CN105304525A (zh) * 2014-07-22 2016-02-03 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法以及记录介质
CN106582915A (zh) * 2016-12-31 2017-04-26 合肥优亿科机电科技有限公司 一种超净台自动电极探入装置
CN106582914A (zh) * 2016-12-31 2017-04-26 合肥优亿科机电科技有限公司 一种用于超净台的电极探入装置
CN108411281A (zh) * 2017-02-09 2018-08-17 Asm Ip控股有限公司 通过热ald和peald沉积氧化物膜的方法
CN110578133A (zh) * 2018-06-08 2019-12-17 Asm Ip控股有限公司 气相化学反应器和其使用方法

Families Citing this family (391)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US7993457B1 (en) * 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
DE102007063363B4 (de) * 2007-05-21 2016-05-12 Centrotherm Photovoltaics Ag Vorrichtung zur Dotierung und Beschichtung von Halbleitermaterial bei niedrigem Druck
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
US9404180B2 (en) * 2010-03-16 2016-08-02 Tokyo Electron Limited Deposition device
JP2012127386A (ja) * 2010-12-14 2012-07-05 Canon Anelva Corp 真空容器
SG10201602599XA (en) 2011-03-04 2016-05-30 Novellus Systems Inc Hybrid ceramic showerhead
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
JP6049395B2 (ja) * 2011-12-09 2016-12-21 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5951443B2 (ja) * 2011-12-09 2016-07-13 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6039996B2 (ja) * 2011-12-09 2016-12-07 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP6029452B2 (ja) * 2012-02-22 2016-11-24 東京エレクトロン株式会社 基板処理装置
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8647485B2 (en) * 2012-03-30 2014-02-11 Applied Materials, Inc. Process kit shield for plasma enhanced processing chamber
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US20150155187A1 (en) * 2013-12-04 2015-06-04 Lam Research Corporation Annular baffle for pumping from above a plane of the semiconductor wafer support
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
JP6225842B2 (ja) * 2014-06-16 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
JP5800969B1 (ja) 2014-08-27 2015-10-28 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、プログラム、記録媒体
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10403515B2 (en) * 2015-09-24 2019-09-03 Applied Materials, Inc. Loadlock integrated bevel etcher system
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
JP6368732B2 (ja) * 2016-03-29 2018-08-01 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
DE17895903T1 (de) * 2017-02-08 2020-01-16 Picosun Oy Abscheidungs- oder Reinigungsvorrichtung mit beweglicher Struktur und Verfahren zum Betrieb
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) * 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) * 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) * 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US20200181770A1 (en) * 2018-12-05 2020-06-11 Asm Ip Holding B.V. Method of forming a structure including silicon nitride on titanium nitride and structure formed using the method
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
TW202101638A (zh) * 2019-03-15 2021-01-01 美商蘭姆研究公司 用於蝕刻反應器的渦輪分子泵及陰極組件
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) * 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11427910B2 (en) * 2020-10-20 2022-08-30 Sky Tech Inc. Atomic layer deposition equipment capable of reducing precursor deposition and atomic layer deposition process method using the same
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN115773471A (zh) * 2022-11-24 2023-03-10 江苏微导纳米科技股份有限公司 原子层沉积设备及其方法

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4166783A (en) * 1978-04-17 1979-09-04 Varian Associates, Inc. Deposition rate regulation by computer control of sputtering systems
US4778559A (en) * 1986-10-15 1988-10-18 Advantage Production Technology Semiconductor substrate heater and reactor process and apparatus
US5096110A (en) * 1990-12-17 1992-03-17 Ford Motor Company Control system for vacuum brazing process
FR2682047B1 (fr) * 1991-10-07 1993-11-12 Commissariat A Energie Atomique Reacteur de traitement chimique en phase gazeuse.
US5223001A (en) * 1991-11-21 1993-06-29 Tokyo Electron Kabushiki Kaisha Vacuum processing apparatus
JP2662365B2 (ja) * 1993-01-28 1997-10-08 アプライド マテリアルズ インコーポレイテッド 改良された排出システムを有する単一基板式の真空処理装置
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US6688375B1 (en) * 1997-10-14 2004-02-10 Applied Materials, Inc. Vacuum processing system having improved substrate heating and cooling
US6182851B1 (en) * 1998-09-10 2001-02-06 Applied Materials Inc. Vacuum processing chambers and method for producing
US6183564B1 (en) 1998-11-12 2001-02-06 Tokyo Electron Limited Buffer chamber for integrating physical and chemical vapor deposition chambers together in a processing system
US6364954B2 (en) * 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
DE19919326A1 (de) * 1999-04-28 2000-11-02 Leybold Systems Gmbh Kammer für eine chemische Dampfbeschichtung
KR100332423B1 (ko) * 1999-09-02 2002-04-13 황 철 주 Pecvd 장비
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
JP4422295B2 (ja) * 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
WO2002033729A2 (en) * 2000-10-16 2002-04-25 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US20020144784A1 (en) * 2001-04-06 2002-10-10 Curry Don E. Wafer processing apparatus having a chamber with an upper wall having gas supply openings formed therein which promote more even processing of a wafer
KR100422398B1 (ko) * 2001-06-29 2004-03-12 주식회사 하이닉스반도체 박막 증착 장비
US6537421B2 (en) * 2001-07-24 2003-03-25 Tokyo Electron Limited RF bias control in plasma deposition and etch systems with multiple RF power sources
US7138336B2 (en) * 2001-08-06 2006-11-21 Asm Genitech Korea Ltd. Plasma enhanced atomic layer deposition (PEALD) equipment and method of forming a conducting thin film using the same thereof
JP2004014952A (ja) * 2002-06-10 2004-01-15 Tokyo Electron Ltd 処理装置および処理方法
US6846380B2 (en) * 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US6821563B2 (en) * 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP3933035B2 (ja) * 2002-11-06 2007-06-20 富士ゼロックス株式会社 カーボンナノチューブの製造装置および製造方法
TW589396B (en) * 2003-01-07 2004-06-01 Arima Optoelectronics Corp Chemical vapor deposition reactor
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US6911093B2 (en) * 2003-06-02 2005-06-28 Lsi Logic Corporation Lid liner for chemical vapor deposition chamber
US7422636B2 (en) * 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination

Cited By (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102308362A (zh) * 2009-02-09 2012-01-04 Asm美国公司 半导体处理室中减少污染的方法及其装置
CN102308362B (zh) * 2009-02-09 2014-02-26 Asm美国公司 半导体处理室中减少污染的方法及其装置
CN104081512A (zh) * 2011-11-23 2014-10-01 Asmip控股有限公司 腔室密封构件
CN105304525A (zh) * 2014-07-22 2016-02-03 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法以及记录介质
CN105304525B (zh) * 2014-07-22 2018-08-28 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法以及记录介质
CN106582915A (zh) * 2016-12-31 2017-04-26 合肥优亿科机电科技有限公司 一种超净台自动电极探入装置
CN106582914A (zh) * 2016-12-31 2017-04-26 合肥优亿科机电科技有限公司 一种用于超净台的电极探入装置
CN108411281A (zh) * 2017-02-09 2018-08-17 Asm Ip控股有限公司 通过热ald和peald沉积氧化物膜的方法
CN110578133A (zh) * 2018-06-08 2019-12-17 Asm Ip控股有限公司 气相化学反应器和其使用方法
CN110578133B (zh) * 2018-06-08 2024-05-24 Asmip控股有限公司 气相化学反应器和其使用方法

Also Published As

Publication number Publication date
TW200735185A (en) 2007-09-16
JP2007177323A (ja) 2007-07-12
TWI338324B (en) 2011-03-01
KR20070053142A (ko) 2007-05-23
CN101082125B (zh) 2013-03-06
JP5209198B2 (ja) 2013-06-12
US20070116873A1 (en) 2007-05-24
KR101277036B1 (ko) 2013-06-25

Similar Documents

Publication Publication Date Title
CN101082125B (zh) 用于热和等离子体增强气相沉积的设备
CN101535524B (zh) 进行等离子体增强原子层沉积的方法和系统
US7740705B2 (en) Exhaust apparatus configured to reduce particle contamination in a deposition system
US7670432B2 (en) Exhaust system for a vacuum processing system
US7794546B2 (en) Sealing device and method for a processing system
US20120315404A1 (en) Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8454749B2 (en) Method and system for sealing a first assembly to a second assembly of a processing system
US7422636B2 (en) Plasma enhanced atomic layer deposition system having reduced contamination
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US20070116888A1 (en) Method and system for performing different deposition processes within a single chamber
CN101205605B (zh) 用于热增强和等离子体增强气相沉积的装置及操作方法
US8163087B2 (en) Plasma enhanced atomic layer deposition system and method
US7651568B2 (en) Plasma enhanced atomic layer deposition system
US20060213437A1 (en) Plasma enhanced atomic layer deposition system
WO2014134481A1 (en) Metal amide deposition precursors and their stabilization with an inert ampoule liner
WO2006101886A2 (en) A plasma enhanced atomic layer deposition system and method
KR101281863B1 (ko) 증착 시스템
Chu ALD machines

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130306

Termination date: 20161120