CN102308362B - 半导体处理室中减少污染的方法及其装置 - Google Patents
半导体处理室中减少污染的方法及其装置 Download PDFInfo
- Publication number
- CN102308362B CN102308362B CN201080006839.6A CN201080006839A CN102308362B CN 102308362 B CN102308362 B CN 102308362B CN 201080006839 A CN201080006839 A CN 201080006839A CN 102308362 B CN102308362 B CN 102308362B
- Authority
- CN
- China
- Prior art keywords
- reative cell
- load chamber
- workpiece support
- entrance
- pressure
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 238000012545 processing Methods 0.000 title claims abstract description 125
- 239000004065 semiconductor Substances 0.000 title claims abstract description 48
- 238000000034 method Methods 0.000 title claims description 61
- 238000011109 contamination Methods 0.000 title description 9
- 238000010926 purge Methods 0.000 claims abstract description 59
- 230000007246 mechanism Effects 0.000 claims abstract description 16
- 230000008569 process Effects 0.000 claims description 27
- 238000005086 pumping Methods 0.000 claims description 13
- 238000007789 sealing Methods 0.000 claims description 8
- 230000015572 biosynthetic process Effects 0.000 claims description 2
- 239000007789 gas Substances 0.000 abstract description 121
- 238000006243 chemical reaction Methods 0.000 abstract description 11
- 238000011068 loading method Methods 0.000 abstract description 8
- 239000002245 particle Substances 0.000 description 16
- 230000009471 action Effects 0.000 description 9
- 230000008901 benefit Effects 0.000 description 9
- 238000000746 purification Methods 0.000 description 8
- 239000000463 material Substances 0.000 description 7
- 239000000376 reactant Substances 0.000 description 7
- 238000000231 atomic layer deposition Methods 0.000 description 6
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 230000008859 change Effects 0.000 description 4
- 238000005229 chemical vapour deposition Methods 0.000 description 4
- 239000012636 effector Substances 0.000 description 4
- 239000012530 fluid Substances 0.000 description 4
- 238000002955 isolation Methods 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 230000004888 barrier function Effects 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 239000000758 substrate Substances 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 2
- 238000013459 approach Methods 0.000 description 2
- 239000006227 byproduct Substances 0.000 description 2
- 239000012159 carrier gas Substances 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 238000013461 design Methods 0.000 description 2
- 238000009792 diffusion process Methods 0.000 description 2
- 238000007599 discharging Methods 0.000 description 2
- 230000005484 gravity Effects 0.000 description 2
- 229910052751 metal Inorganic materials 0.000 description 2
- 239000002184 metal Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000006557 surface reaction Methods 0.000 description 2
- 239000010936 titanium Substances 0.000 description 2
- 229910052719 titanium Inorganic materials 0.000 description 2
- 238000011144 upstream manufacturing Methods 0.000 description 2
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 1
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 description 1
- 230000000740 bleeding effect Effects 0.000 description 1
- 230000006835 compression Effects 0.000 description 1
- 238000007906 compression Methods 0.000 description 1
- 238000005520 cutting process Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000010586 diagram Methods 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- 238000001312 dry etching Methods 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 239000003344 environmental pollutant Substances 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 238000004519 manufacturing process Methods 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 1
- 238000005457 optimization Methods 0.000 description 1
- 231100000719 pollutant Toxicity 0.000 description 1
- 238000004151 rapid thermal annealing Methods 0.000 description 1
- 239000012495 reaction gas Substances 0.000 description 1
- 230000001105 regulatory effect Effects 0.000 description 1
- 230000004044 response Effects 0.000 description 1
- 230000000630 rising effect Effects 0.000 description 1
- 238000009738 saturating Methods 0.000 description 1
- 238000012163 sequencing technique Methods 0.000 description 1
- 229910052710 silicon Inorganic materials 0.000 description 1
- 239000010703 silicon Substances 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 239000000126 substance Substances 0.000 description 1
Images
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68764—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68742—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Drying Of Semiconductors (AREA)
Abstract
一种半导体处理装置包括反应室、装载室、可移动支撑件、驱动机构及控制系统。反应室包括底板。底板包括开口。可移动支撑件经构造以保持工件。驱动机构经构造以使保持于支撑件上的工件朝向底板的开口移动至处理位置。控制系统经构造以当工件支撑件处于运动中时,在反应室与装载室之间形成正压力梯度。当工件支撑件处于运动中时,净化气体从反应室流入装载室中。控制系统经构造以当处理工件时,在反应室与装载室之间形成负压力梯度。当工件支撑件处于处理位置时,净化气体可从装载室流入反应室中,除非在处理位置中使反应室从装载室密封开。
Description
技术领域
本发明涉及处置用于半导体处理的基板(substrate)的系统和方法。
背景技术
在诸如晶体管、二极管以及集成电路的半导体器件的处理中,通常将多个这些器件同时制造于薄半导体材料片上,所述半导体材料片称为基板、晶片(wafer)或工件(workpiece)。当制造这些半导体器件时,需要工件不被微粒污染,污染可能会导致器件故障。因此,将处理工件的反应器内部与反应空间的外部隔离,以防止污染物进入反应空间中。
发明内容
根据一实施例,一种半导体处理装置,包括位于装载室(loading chamber)上方的横流反应室(cross-flow reaction chamber),所述装载室与所述横流反应室由具有开口的底板隔开。可移动工件支撑件(movable workpiece support)经构造以保持半导体工件。驱动机构经构造以使所述工件支撑件在装载位置与处理位置之间移动。所述装置还包括控制系统,所述控制系统经构造以当工件支撑件移动时,控制所述反应室的压力高于所述装载室的压力。
所述控制系统可进一步经构造以当所述工件支撑件处于所述处理位置时,控制所述反应室的压力低于所述装载室的压力。
根据一实施例,一种半导体处理装置,包括位于装载室上方的反应室,所述装载室与所述反应室由具有开口的底板隔开。可移动工件支撑件经构造以在装载位置与处理位置之间移动。当所述工件支撑件处于所述处理位置时,所述工件支撑件啮合底板开口,以在所述工件支撑件与所述底板开 口之间形成密封。所述装置还包括控制系统,所述控制系统经构造以当工件支撑件移动时,控制所述反应室的压力高于所述装载室的压力。
根据一实施例,提供一种用于在半导体处理装置中处理半导体工件的方法,所述半导体处理装置包括位于装载室上方的横流反应室,所述装载室与所述横流反应室由具有开口的底板隔开。所述方法包括:当可移动工件支撑件处于装载位置时,将半导体工件装载于所述支撑件上。所述工件支撑件,在装载位置与处理位置之间移动。当工件支撑件移动时,维持反应室中的压力高于装载室中的压力。在将工件支撑件移动至处理位置之后,处理所述工件,其中的处理包括使反应气体(reaction gas)大致平行于工件的面而流动。
处理半导体工件的方法可还包括:在处理期间,于反应室中维持比装载室中低的压力。
根据一实施例,提供一种用于在半导体处理装置中处理工件的方法,所述半导体处理装置包括位于装载室上方的反应室,所述装载室与所述反应室由具有开口的底板隔开。所述方法包括:当可移动工件支撑件处于装载位置时,将半导体工件装载于所述支撑件上。所述工件支撑件在装载位置与处理位置之间移动。当所述工件支撑件处于所述处理位置时,在所述工件支撑件与所述底板开口之间形成密封。当工件支撑件移动时,使气体从反应室流入装载室中。
在半导体处理装置中处理工件的方法可还包括:当所述工件支撑件处于处理位置时,使气体从装载室流入反应室中。
在以上实施例中,当所述工件支撑件处于所述处理位置时,所述工件支撑件可啮合所述底板开口。啮合可包括在所述底板与所述工件支撑件之间维持间隙。在其它配置中,啮合可在所述底板与所述工件支撑件之间形成密封。
为了概述本发明和相对于现有技术而实现的优点,本发明的某些目标以及优点已说明如上。应理解,并非根据本发明的任何特定实施例皆必定达成所有这些目标或优点。因此,例如,熟练技术人员将认识到,本发明可以一种方式体现达成或最佳化如本文中所提示的一个优点或一群优点,而未必达成如本文中所提示的其它目标或优点。
所有这些实施例旨在落入本发明的范围内。在阅读下面附有附图的某些实施例的详细描述后,本领域熟练技术人员将容易明白这些和其他实施例,本发明不限于任何公开的特定实施例。
附图说明
本文公开的本发明的这些和其他特征、方面和优点参照某些实施例的附图来描述,其旨在描述而非限制本发明。
图1绘示一实施例的半导体处理装置的横截面,其中工件支撑件处于装载位置。
图2绘示一实施例的图1的装置,其中展示工件支撑件处于处理位置。
图3A绘示另一实施例的半导体处理装置的横截面,其中展示工件支撑件处于处理位置。
图3B为图3A中的区域B的放大图。
图4A绘示另一实施例的半导体处理装置的横截面,其中展示工件支撑件处于处理位置。
图4B为图4A中的区域B的放大图。
图5A至图5D为说明一实施例的在图1的装置中处理工件的方法的示意性横截面。
图6为说明一实施例的处理工件的方法的流程图。
图7为说明另一实施例的处理工件的方法的流程图。
图8为说明另一实施例的处理工件的方法的详细实例的流程图。
图9为说明根据图8的处理的实例,在工件处理的各阶段期间半导体处理装置的状态的图表。
具体实施方式
尽管下文揭露某些实施例以及实例,但熟练技术人员将理解,本发明扩展超出所揭露的本发明实施例和/或应用以及其明显修改及等效形式。因此,希望本文中所揭露的本发明的范畴不受下文所描述的所揭露特定实施例的限制。
概述
图1绘示包括反应室101以及装载室102的半导体处理装置100的实施例。反应室101与装载室102可一起视为处理模块。在所说明的实施例中,反应室101装设于装载室102上方,且反应室101与装载室102由在下文更详细描述的底板107以及可移动底座或工件支撑件109隔开。
在一些实施例中,与未按比例绘制的示意性图式相反,反应室101可实质上小于装载室102。对于单晶片处理模块,如图所示,反应室101可具有介于约0.25升与3升之间的体积。在一些实施例中,反应室101可具有小于约1升的体积。在一些实施例中,反应室101可为约900mm长,600mm宽以及5mm高。在一些实施例中,装载室102可具有介于约30升与约50升之间的体积。在一些实施例中,装载室102可具有约40升的体积。在一些实施例中,装载室102可具有为反应室101的体积的约35倍至45倍的体积。经修改后能满足以下描述的适当装置的实例为P3000TM或PULSAR 3000TM,其可购自Phoenix AZ的ASM America,Inc.。
在一些实施例中,反应室101可包括一或多个入口103(图示一个)以及一或多个出口104(图示一个)。在处理期间,诸如反应物以及净化气的气体可经由反应室入口103流入反应室101中,且诸如过剩反应物、反应物副产物以及净化气的气体可经由反应室出口104流出反应室101。在一些实施例中,装载室102可包括一或多个入口105(图示一个)以及一或多个出口106(图示一个)。在操作中,诸如净化气的气体可经由装载室入口105流入装载室102中,且诸如过剩反应物、反应物副产物以及净化气的气体可经由装载室出口106流出装载室102。所描绘的构造,诸如入口103、105以及出口104、106的位置,仅为示意性的,且可基于(例如)反应室101中执行的处理、气体的所要流动路径等加以调整。
在所说明的实施例中,反应室101包括底板107,所述底板107包括开口108。底板107的内边缘界定开口108。在一些实施例中,底板107可包括钛。在所说明实施例中,反应室入口103大致位于反应室出口104对面,使得从反应室入口103流至反应室出口104的反应气体大致平行于工件W的面、且因此平行于可移动支撑件的上表面而行进。这些反应器有 时称为“横流”反应器或水平层流反应器(horizontal laminar flow reactor)。在一些实施例中,装置100可为原子层沉积(atomic layer deposition,ALD)反应器,因而其包括由控制系统113控制的阀以分离地提供反应物脉冲。在一些实施例中,装置100可包括由控制系统113独立地控制的两个或更多个阀,以允许调节反应室101与装载室102之间的相对压力和/或流动方向。在一些实施例中,反应室入口103可包括分配系统(distribution system),以便按所要模式(pattern)分配气体。在一些实施例中,反应室101可靠近反应室出口104而逐渐减缩,使得反应室101的高度接近反应室出口104而减小,藉此限制穿过反应室出口104的气体流动。尽管在本文中可关于气相沉积(例如,化学气相沉积或CVD,和/或原子层气相沉积或ALD)反应器来描述装置100,但装置100可替代地包括其它半导体处理工具,包括(但不限于)干式蚀刻器(dry etcher)、灰化器(asher)、快速热退火器(rapid thermal annealer)等等。
装置100还包括可移动支撑件109,所述可移动支撑件109经构造以藉由驱动机构110的操作,而在装载位置与处理位置之间移动。图1描绘根据一实施例的处于装载位置的支撑件109。支撑件109可经构造以保持半导体工件W(见图2),诸如硅晶片。工件W可以各种方式装载于支撑件109中以及从支撑件109卸载,诸如藉由机械手(robot)的末端执行器(end effector)。支撑件109可包括顶升销(lift-pin)111和/或切口(cutout),以辅助藉由桨板(paddle)或叉杆(fork)装载以及卸载工件W。支撑件109可包括将工件W在装载后保持于适当位置的真空系统(vacuum system),或者重力自身可将工件W保持于凹穴(pocket)中,凹穴的大小及形状经设定以容纳工件W。装置100可还包括用于将工件W装载至支撑件109以及从支撑件109卸载工件W的一或多个门阀(gate valve)112(图示一个)。门阀112可允许通路至(例如)传送室(transfer chamber)、真空装载室(load lock)、处理室、清洁室等。
控制系统113还经构造或程序化以控制驱动机构110。在一些实施例中,驱动机构110可包括赋予支撑件109垂直移动的活塞或升降机(elevator)。驱动机构110因此经构造以将支撑件109、及将装设于支撑件109上的工件W,在反应器关闭操作期间移入处理位置,且在反应器打开 操作期间移入装载位置。驱动机构110还可经构造以旋转装设于支撑件109上的工件W。
图2示意性地说明根据一实施例的装置100,其中的支撑件109处于处理位置。当处于处理位置时,支撑件109啮合底板107,从而使反应室101的内部与装载室102有效地隔离或分离。在一些实施例中,啮合可包括在底板107与支撑件109之间形成硬质金属对金属密封。在一些实施例中,啮合可包括在所述两个部件中的任一部件上压缩诸如O形环的可弯曲材料,以在底板107与支撑件109之间形成软密封。在一些实施例中,啮合可包括在支撑件109与底板107之间维持间隙,不存在绝对密封。即使在啮合包括在支撑件109与底板107之间维持间隙的情况下,支撑件仍可藉由在反应室101与装载室102之间形成对流体连通的实质阻障而有效地分离反应室101与装载室102。
间隙维持
图3A示意性地说明包括反应室101′以及装载室102′的半导体处理装置100′的实例实施例。装置100′类似于上文所述的装置100,不同的处在于支撑件109′与底板107′在支撑件109′处于处理位置时可不形成密封。装置100′类似于名称为“Gap Maintenance for Opening to Process Chamber”的美国专利申请案第12/350,793号(于2009年1月8日申请;代理人案卷号ASMEX.633A)中所描述的装置,所述专利申请案的揭露内容特此并入本案供参考,以便于描述在支撑件处于处理位置时,在支撑件与底板之间维持间隙的方法以及装置。
在所说明的实施例中,当支撑件109′处于处理位置时,支撑件109′与底板107′之间存在间隙314。控制系统113′经构造以将支撑件109′移动至与底板107′啮合,以在反应室101′中处理工件W。
图3B说明在支撑件109′与底板107′构件之间包括水平以及垂直间距之间隙314的放大图。在一些实施例中,设有一或多个衬垫315经构造以垂直地隔开支撑件109′与底板107′。衬垫315可在开口108的周边均匀地隔开,且可安装于底板107′的下侧和/或支撑件109′的上表面上。衬垫315在俯视图(top-down view)中可为分离的,从而在对工件W进行处理期间 允许反应室101′与装载室102′之间存在一些的流体连通。
图4A示意性地说明半导体处理装置100″的实施例。装置100″类似于上文所述的装置100′,不同的处在于支撑件109″以及底板107″经设定形状以及大小,使得间隙314″包括实质上围绕支撑件109″的环形水平空间。图4B说明间隙314″的放大图。
正压力
尽管以下描述是指图1的装置,但应了解,所述描述可应用于本文中所揭露的其它装置,以及其它适当的半导体工件处理装置。
每当在反应室101中处理工件W时,在支撑件109啮合底板107时可能会产生粒子。此情形是危险的,不管啮合是接触(图2)还是维持间隙314或314″(图3A至图4B)。在典型反应器关闭操作期间,在装载室102中存在的压力可能会比在反应室101中存在的压力高。因此,当支撑件109朝向底板107移动时,气体从装载室102经由开口108流入反应室101中。随着支撑件109朝向与底板107的啮合移动,支撑件109与底板107之间之间隙变窄,且支撑件109可能会逐渐限制穿过开口108的气体流动。穿过开口108的逐渐受限的气体流动,可能会加剧反应室101与装载室102之间的压力差,从而使得气体以较高速度流动穿过支撑件109与底板107之间的逐渐变窄之间隙。随着支撑件109与底板107之间之间隙进一步变窄,气体的逐渐增加的速度,可能会使得粒子从附近的扫掠表面移动且被携带于反应室101中。这些粒子可能包括许多不同材料,诸如来自反应室101诸部分的材料和/或在反应室101内进行处理期间所沉积的材料的粒子。因此,所述粒子可能包括介电材料、半导电材料或金属材料。粒子组合物可能取决于底板107、支撑件109的材料以及在反应室101中执行的处理。在一实施例中,所述粒子可能包括(例如)Ti、Al2O3和/或HfO2。这些粒子可能会被无意地输送至工件W的表面,例如,归因于在支撑件109朝向与底板107的啮合而移动时或在支撑件109啮合底板107时气体的移动。这些粒子可污染工件W,从而导致工件W的质量以及良率降低。
可藉由在反应器关闭期间于反应室101与装载室102之间建立正压力梯度而减少工件污染,其中反应室101中的压力高于装载室102中的压力。 在一些实施例中,控制系统113经构造以当工件支撑件109处于运动(可包括打开运动或关闭运动)中时,将反应室101的压力控制为高于装载室102的压力。控制系统113可经构造以在支撑件109处于运动中时,且尤其在朝向与底板107的啮合移动或啮合底板107的过程期间,控制气体穿过入口103、105以及出口104、106的流动,以确保从反应室101至装载室102的所要流动方向。支撑件109与底板107之间的任何接触皆会加剧粒子产生问题。
操作方法
图5A至图5D说明在图1的装置100中处理工件W的实例。然而,应了解,所述方法可应用于本文中所揭露的其它装置,以及其它适当半导体工件处理装置。
初始状态
在图5A中,支撑件109处于装载位置,且门阀112关闭。在所说明的实施例中,多个顶升销111在工件支撑件109的一部分的上方延伸。在一些实施例中,可打开入口103、105和/或出口104、106中的一或多者,以允许气体在将工件W装载至支撑件109上的前,流过反应室101和/或装载室102,以(例如)净化反应室101和/或装载室102。
在一些实施例中,控制系统113可使净化气体经由反应室入口103流入反应室101中。在一些实施例中,穿过反应室入口103的气体流动速率可介于约0.5slm与约2.0slm之间。在一些实施例中,穿过反应室入口103的气体流动速率可介于约0.8slm与约1.2slm之间。在上述实施例中,穿过反应室入口103的气体流动速率可为恒定的,且与反应室101中的压力无关。在一些实施例中,反应室出口104可连接至抽吸机构或真空泵。熟练技术人员应理解,根据反应室及装载室流动速率传导率以及抽吸速度(基于处理条件),进入反应室101的气体可使用许多不同流动速率。
在一些实施例中,控制系统113可使净化气体经由装载室入口105流入装载室102中。控制系统113可调整穿过装载室入口105的净化气体流动速率,以便维持装载室102中的所要压力,例如,介于约0.5托(Torr) 与约1.5托之间,且更具体而言,0.8托至1.2托之间。尽管存在上述内容,但在一些实施例中,穿过装载室入口105的净化气体的流动,可由来自压力传感器和/或流动速率限制器(例如,设定至最大约1slm)的回馈加以控制,该压力传感器位于装载室102中且具有在以上范围内的设定点。在一些实施例中,装载室出口106可与抽吸机构隔离。当然,应理解,在其它实施例中,替代控制直接在入口上游的净化气体流动速率或除了直接在入口上游控制净化气体流动速率的外,再对反应室101以及装载室102中的相对压力由位于反应室101以及装载室102的排气端(exhaust end)处的压力控制器(例如,节流阀)控制。
在所说明实施例中,当支撑件处于装载位置时,反应室101实质上向装载室102开放。由于在支撑件109处于装载位置时,开口108允许反应室101与装载室102之间流体连通,故所述两个腔室之间的压力将倾向于等化。在由来自压力传感器的回馈控制穿过装载室入口105的净化气体的流动的实施例中,反应室101中的压力可能会趋向于回馈控制设定点。因此,在一些实施例中,反应室101中的压力可大致等于或稍小于上述关于装载室102所提供的范围。具体言的,反应室101中的压力可介于约0.5托与约1.5托之间。在一些实施例中,反应室101中的压力可介于约0.8托与约1.2托之间。
打开门阀
在图5B中,门阀112已打开,以允许将工件W装载至支撑件109上。在一些实施例中,工件W可为半导体工件。如上所论述,若使用桨板或叉杆作为机械手末端执行器(未图示),则支撑件109可包括顶升销111,工件W可置放于所述顶升销111上。顶升销111可经构造以朝向以及远离支撑件109而移动。由此,顶升销111以及工件W可朝向支撑件109移动,或经降低以使工件W定位于支撑件109上。在一些实施例中,顶升销111经构造以当支撑件109朝向处理位置移动或升高时,将工件W降低至支撑件109上。在一些实施例中,可施加真空以将工件W拉至支撑件109,然而,在其它实施例中,可只用重力将工件W保持于支撑件109的凹穴中。
在一些实施例中,门阀112外部(例如,传送室中)的压力可介于约 2托与约4托之间。在一些实施例中,门阀112外部的压力可介于约2.5托与约3.5托之间。反应室101以及装载室102中的压力在门阀112打开时将倾向于与外部压力相等。
关闭门阀
在将工件W装载于支撑件109上之后,可关闭门阀112。反应室101以及装载室102中的压力,可接着返回至打开门阀112的前所建立的范围。在所说明实施例中,由于反应室101向装载室102开放,故两个腔室中的压力将返回至装载室102的压力控制设定点。
反应器关闭
在关闭门阀112之后,可将支撑件109升高至处理位置。在一些实施例中,可能会花费一些时间(例如,约25秒)来将支撑件109移动至处理位置。在反应器关闭程序期间,可能会因如上文所述的粒子产生以及移动而发生工件污染。在一些实施例中,在反应器关闭期间,可藉由于反应室101与装载室102之间建立正压力梯度而减少工件污染。在一些实施例中,在反应器关闭期间可形成从反应室101流入装载室102中的净气流,因此防止任何扰动粒子(disturbed particle)进入反应室101,否则所述粒子可能会停留于反应室101内且污染工件W。
在一些实施例中,控制系统113可经构造以在反应器关闭期间,将反应室101的压力控制为大于装载室102的压力。在一些实施例中,当支撑件109处于运动中时,尤其,当将其升高至处理位置时,压力在反应室101中可比在装载室102中高约0.1托至约3托。在一些实施例中,当将支撑件109升高至处理位置时,压力在反应室101中可比在装载室102中高约0.3托至约2托。反应室101与装载室102之间的压力差,在处理模块以较高压力操作的实施例中可能较大,且在处理模块以较低压力操作的情况下可能较低。在一些实施例中,反应室101中的压力(以托为单位)可为装载室102中的压力的约1.1倍至约3倍。在一些实施例中,反应室101中的压力(以托为单位)可为装载室102中的压力的约1.3倍至约2倍。
反应室101与装载室102之间的压力差,将倾向于随着支撑件109接 近底板107中的开口108而增加。在一实施例中,当支撑件109处于装载位置时,在反应器关闭的开始时,反应室101中的压力可介于约1托与约1.6托之间,更具体而言,介于约1.2托与约1.4托之间。在同一实施例中,当支撑件109与底板107啮合且处于处理位置时,在反应器关闭的结束时,反应室101中的压力可介于约2托与约4托之间,更具体而言,介于约2.5托与约3.5托之间。在反应器关闭期间,在反应室101的压力增加时,装载室102中的压力可保持稳定或降低,例如,降低至约0.5托与约1.5托之间,更具体而言,降低至约0.8托与约1.2托之间。
在一些实施例中,在支撑件109移动或升高至处理位置时,控制系统可使净化气体经由反应室入口103流入反应室101中且经由装载室出口106流出装载室102。确保此流动方向的一种方式为在支撑件109移动时从装载室102抽吸气体。而从装载室102抽吸气体,可藉由将装载室出口106构造为有效地连接至抽吸机构或真空源、同时降低或切断经由反应室出口104的抽吸。另一选择为或另外,经由反应室入口103供应的净化气体的流动速率(作为与反应室体积的比率)比净化气体流动穿过装载室入口105的速率(与装载室体积的比率)大得多。在一些实施例中,在反应器关闭期间,净化气体穿过反应室入口103的速率流动可以介于约0.5slm与约1.5slm之间,更具体而言,介于约0.8slm与约1.2slm之间。控制系统113可能会在反应器关闭期间,使净化气体经由装载室入口105流入装载室102中,但希望无气体经由装载室入口105流入装载室102中。在一些实施例中,穿过反应室入口103的净化气体流动速率,可为穿过装载室入口105的净化气体流动的绝对速率的约2倍至约4倍,更具体而言,约2.5倍至约3.5倍。此对于所说明的反应器相当于在反应室101中的净化气体流动与腔室体积比率,较在装载室102中的净化气体流动与腔室体积比率高约80倍至约160倍,更具体而言,高约100倍至约140倍,因为装载室102的体积为反应室101的体积的约40倍。熟练技术人员将显而易见,可藉由穿过入口103、105以及出口104、106的气体流动的其它组合,形成在反应器关闭期间的正压力梯度,其中反应室101中的压力高于装载室102中的压力。
处理
在图5C中,已关闭门阀112,且已将支撑件109移动至处理位置。在已将支撑件109移动至处理位置(其中支撑件109与底板107啮合)之后,可在反应室101中处理工件W。在一些实施例中,在反应室101中处理工件W可包括CVD。在一些实施例中,在反应室101中处理工件W可包括ALD。反应气体可以层流、水平或“横流”配置经由反应室入口103流入反应室101中,与工件W相互作用,且经由反应室出口104流出反应室101。在一些实施例中,诸如氮气的惰性净化气体可经由装载室入口105流入装载室102中,且经由装载室出口106流出装载室102。对于ALD,反应气体以由净化周期隔开的脉冲形式交替出现以进行自饱和式表面反应(self-saturating surface reaction),从而每循环形成通常少于一个单层。
在一些情况下,可能需要防止反应气体在工件处理期间从反应室101漏入装载室102中。因此,在工件处理期间,可于反应室101与装载室102之间形成负压力梯度,其中装载室102中的压力大于反应室101中的压力。在一些实施例中,若支撑件109与底板107之间不存在密封,则在工件处理期间,可形成从装载室102至反应室101的净气流。由此,在工件处理期间从装载室102至反应室101的惰性气体的流动将形成扩散障壁(diffusion barrier),以防止反应物以及其它处理副产物流入装载室102中。
所述控制系统可经构造以当支撑件109处于处理位置时,控制反应室101的压力低于装载室102的压力。在一些实施例中,在工件处理期间,反应室101中的压力可比装载室102中的压力低约0.1托至约2.5托,更具体而言,低约0.3托至1托。在一些实施例中,在工件处理期间,装载室102中的压力(以托为单位)可为反应室101中的压力的约1.1倍至约2倍。举例而言,反应室101中的压力在工件处理期间可介于约2.5托与约4.5托之间,而装载室102中的压力在工件处理期间可介于约3托与约5托之间。在一些实施例中,反应室101中的压力在工件处理期间可为约3.5托,而装载室102中的压力在工件处理期间可为约4托。
在一些实施例中,在工件处理期间,控制系统113可使反应气体和/或净化气体经由反应室入口103流入反应室101中,且经由反应室出口104流出反应室101。作为实例,在工件处理期间,穿过反应室入口103的总气体流动可介于约1slm与约1.6slm之间,更具体而言,介于约1.2slm与约1.4slm之间。通常,反应室出口104可连接至抽吸机构,以当工件支撑件109处于处理位置时在工件处理期间从反应室101抽吸气体。
在一些实施例中,在工件处理期间,控制系统113还可使净化气体作为载气(carrier gas)经由装载室入口105流入装载室102中,且经由装载室出口106流出装载室102。举例而言,在工件处理期间,净化气体可以介于约50sccm与约250sccm,更具体而言,介于约100sccm与约200sccm之间的速率流动穿过装载室入口105。在低净化流量下,在工件处理期间经由装载室出口106的抽吸不需要如此强烈,以便维持所要的向内的压力差。
反应器打开
在于反应室101中处理工件W之后,可将支撑件109降低至装载位置,如图5D中所示。在一些实施例中,在降低支撑件109的前,可能存在稳定化周期(例如,小于一分钟或约20秒至30秒)。将支撑件109移动至装载位置可能花费约20秒。当将支撑件109降低至装载位置时,在反应器打开程序期间还可能发生工件污染。在一些实施例中,在反应器打开期间,可藉由于反应室101与装载室102之间建立正压力梯度而减少工件污染。在一些实施例中,在反应器打开期间,可形成从反应室101至装载室102的净气流。在于处理期间使用相反梯度的情况下,更改净化气体流动和/或抽吸位准,以返回至所要的向外(从反应室至装载室)的压力差。
因此,控制系统可经构造以在反应器打开期间,将反应室101的压力控制为高于装载室102的压力。在一些实施例中,当将支撑件109降低至装载位置时,反应室101中的压力可比装载室102中的压力高约0.1托至约3托,更具体而言,高约0.3托至约2托。在一些实施例中,反应室101中的压力可比装载室102中的压力高约1.1倍至约3倍,更具体而言,高约1.3倍至约2.0倍。
反应室101与装载室102之间的压力差,随着支撑件109远离底板107中的开口108移动而将倾向于减小。在一些实施例中,当支撑件109处于处理位置时,在反应器打开的开始时,反应室101中的压力可介于约2托与约4托之间,更具体而言,介于约2.5托与约3.5托之间。在反应室打开期间,装载室102中的压力可介于约0.5托与约1.5托之间,更具体而言,介于约0.8托与约1.2托之间。反应室打开的结束时,当支撑件109处于装载位置时,反应室101中的压力可介于约1托与约1.6托之间,更具体而言,介于约1.2托与约1.4托之间。
在一些实施例中,当支撑件109移动或降低至装载位置时,控制系统可使净化气体经由反应室入口103流入反应室101中,且经由装载室出口106流出装载室102。在一些实施例中,在反应器打开期间,净化气体可以介于约0.5slm与约1.5slm,更具体而言,介于约0.8slm与约1.2slm之间的速率流动穿过反应室入口103。在一些实施例中,在反应器打开期间,气体经由装载室出口106从装载室102进行的真空抽吸增加。经由反应室出口104进行的真空抽汲可减少或隔离。
在替代实施例中,在反应器打开期间,控制系统113可另外使净化气体经由装载室入口105流入装载室102中。然而,穿过反应室入口103的净化气体流动速率可为穿过装载室入口105的净化气体流动速率的约2倍至约4倍,更具体而言,约2.5倍至约3.5倍。熟练技术人员将显而易见,可藉由穿过入口103、105以及出口104、106的气体流动的其它组合来在反应器打开期间形成正压力梯度。
卸载
在图5D中,支撑件109已在处理之后降低至装载位置。在一些实施例中,可打开入口103、105和/或出口104、106中的一或多者,以在将工件W从支撑件109卸载的前允许气体流动穿过反应室101和/或装载室102。
在一些实施例中,控制系统113可指示净化气体经由反应室入口103连续流入反应室101中。在一些实施例中,穿过反应室入口103的气体流动速率可介于约0.5slm与约1.5slm之间,更具体而言,介于约0.8slm与约1.2slm之间。在一些实施例中,反应室出口104可连接至抽吸机构。
同时,控制系统113可使净化气体经由装载室入口105流入装载室102中。在一些实施例中,控制系统113可使用来自压力传感器的回馈来调整 穿过装载室入口105的净化气体流动速率,以便在装载室102中维持介于约0.5托与约1.5托之间,更具体而言,介于约0.8托与约1.2托之间的所要压力。当支撑件109处于装载位置时,开口108允许反应室101与装载室102之间流体连通。因此,在一些实施例中,反应室101中的压力可大致等于装载室102中的压力。尽管存在压力回馈控制,但在一些实施例中,可由流动速率限制器限制净化气体穿过装载室入口105的流动(例如,限制为最大约1slm)。在一些实施例中,装载室出口106可与真空抽吸隔离。
工件W可经由门阀112卸载。在一些实施例中,可释放真空,使得工件W可不再被吸至支撑件109。可升高顶升销111以从支撑件109抬高工件W,在支撑件109处,可由机械手末端执行器接取工件W。如上文所论述,当支撑件109朝向装载位置移动或降低时,顶升销111可经构造以从支撑件109升高或移动工件W。在一些实施例中,可于图5A处再次开始新工件的处理。当门阀112保持打开时,可简单地调换两个工件,而非执行单独的卸载与装载程序。熟练技术人员应理解,上文论述的压力/流动速率范围,仅为对于PULSAR 3000TM系统例示,且熟练技术人员可依反应器设计而改变实际压力以及流动速率范围。
流程图
图6为概述根据一实施例的处理工件的方法的流程图。应理解,所述流程图中所概述的动作既非耗尽性的亦非排他性的,且额外动作可插入于所揭露的彼等动作之间。此外,并非必须发生所有所揭露的动作。尽管以下描述系指图1的装置,但应了解,图6的揭露内容可应用于本文中所揭露的其它装置,以及其它适当的半导体处理装置。
参看图1以及图6,根据一实施例,可将工件W装载至支撑件109上(601)。控制系统可接着在反应室101中建立比装载室102中大的压力(602)。当将支撑件109升高至处理位置时(603),可维持此正压力梯度。控制系统可接着在装载室102中建立比反应室101中大的压力(604)。当在反应室101中处理工件W时(605),可维持此负压力梯度。控制系统可接着在反应室101中重新建立比装载室102中大的压力(606)。当将支撑件109降低至装载位置时(607),可维持此正压力梯度。最后,可从支撑 件109移除工件W(608)。
图7为概述根据一实施例的处理工件的方法的流程图。应理解,所述流程图中所概述的动作既非耗尽性的亦非排他性的,且额外动作可插入于所揭露的彼等动作之间。此外,并非必须发生所有所揭露的动作。尽管以下描述系指图1的装置,但应了解,图7的揭露内容可应用于本文中所揭露的其它装置,以及其它适当的半导体工件处理装置。
参看图1以及图7,根据一实施例,可将工件W装载至支撑件109上(701)。控制系统可接着使净化气体从反应室101流入装载室102中(702)。在一些实施例中,控制系统可使净化气体经由反应室入口103流入反应室101中,且经由装载室出口106流出装载室102。此气体流动可包括从装载室102抽汲气体。当将支撑件109移动或升高至处理位置时(703),可维持此气体流动。工件污染可因此而减少,因为在反应器关闭期间所产生或激起的粒子可被引导至装载室102中。一旦将支撑件109升高至处理位置,在反应室101与装载室102之间可能仍存在泄露或有意的流体连通。控制系统可接着使净化气体从装载室102流入反应室101中(704)。在一些实施例中,控制系统可使净化气体经由装载室入口105流入装载室102中,且经由反应室出口104流出反应室101。此气体流动可包括从反应室101抽汲气体。当在反应室101中处理工件W时(705),可维持此气体流动。在处理之后,控制系统可再次使净化气体从反应室101流至装载室102(706)。在一些实施例中,控制系统可使净化气体经由反应室入口103流入反应室101中,且经由装载室出口106流出装载室102。当将支撑件109降低至装载位置时(707),可维持此气体流动。最后,可从支撑件109移除工件W(708)。
熟练技术人员应理解,当将工件支撑件109移动或升高至关闭位置,且气体从反应室入口103流动穿过装载室出口106时,较低量的气体仍可能从反应室出口104流动。在此实例中,从反应室入口103穿过装载室出口106的气体流动为优势流动(predominant flow),且从反应室出口104的气体流动为少数流动(minority flow)。熟练技术人员亦应理解,当工件支撑件处于处理位置,且气体从装载室入口105流动穿过反应室出口104时,较低量的气体仍可能从装载室出口106流动。在此实例中,从装载室入口105穿过反应室出口104的气体流动为优势流动,且通过装载室出口106的气体流动为少数流动。
图8为根据一详细实施例说明在处理工件的方法期间,贯穿所述处理的净化及抽汲的状态的流程图。应理解,所述流程图中所概述的动作既非耗尽性的亦非排他性的,且额外动作可插入于所揭露的彼等动作之间。此外,并非必须发生所有所揭露的动作。尽管以下描述系指图1的装置,但应了解,图8的揭露内容可应用于本文中所揭露的其它装置,以及其它适当的半导体工件处理装置。
参看图8,根据一实施例,控制系统可使净化气体流入反应室中;使净化气体流入装载室中;且隔离装载室与真空抽汲(操作框801)。可接着打开门阀(802),且可将工件装载于支撑件上或与已在支撑件上的另一工件进行调换(803)。可接着关闭门阀(804)。如状态框(810)所指示,在执行操作框(801)至(804)期间的净化及抽吸状态可为如下:净化气体经由反应室入口流入反应室中;气体经由反应室出口104流出反应室101,所述反应室出口104连接至真空抽吸;净化气体经由装载室入口流入装载室中;气体不流出装载室出口,所述装载室出口与真空抽吸隔离;且在装载室中的压力比反应室中的压力高。
在关闭门阀(804)之后,控制系统可停止使净化气体流入装载室中,使得气体经由装载室出口流出装载室,所述装载室出口可连接至相对较强的真空抽汲(操作框811)。可接着将工件支撑件升高(812)至处理位置。如状态框(820)所指示,在执行操作框(811)至(812)期间的净化及抽吸状态可为如下:净化气体经由反应室入口流入反应室中;气体经由反应室出口流出反应室,所述反应室出口连接至真空抽吸;净化气体不经由装载室入口105流入装载室102中;气体经由装载室出口106流出装载室,所述装载室出口106连接至强的真空抽吸;且在反应室中的压力比装载室中的压力高。
当将支撑件移动或升高(812)至处理位置时,控制系统可使净化气体流入装载室中,且控制系统可藉由减小真空抽吸或将装载室出口106与真空抽吸隔离,而修改气体经由装载室出口流出装载室的速率(操作框821)。接着在反应室中处理所述工件(822)。如状态框(830)所指示,在执行操作框(821)至(822)期间的净化及抽吸状态可为如下:净化气体和/或反应气体经由反应室入口流入反应室中;气体经由反应室出口流出反应室,所述反应室出口连接至真空抽吸;净化气体经由装载室入口流入装载室中;气体经由装载室出口流出装载室,所述装载室出口连接至相对较弱的抽吸机构;且在装载室中的压力比反应室中的压力高。
在处理工件(822)之后,控制系统可停止使净化气体流入装载室中,且控制系统可藉由增加真空抽吸而修改气体经由装载室出口流出装载室的速率(操作框831)。接着将工件支撑件降低(832)至装载位置,且可重复以上循环。如状态框(840)所指示,在执行操作框(831)至(832)期间的抽吸及净化状态可为如下:净化气体经由反应室入口流入反应室中;气体经由反应室出口流出反应室,所述反应室出口连接至真空抽吸;净化气体不经由装载室入口流入装载室中;气体经由装载室出口流出装载室,所述装载室出口连接至相对较强的真空抽吸;且在反应室中的压力比装载室中的压力高。
状态图
图9为说明根据一实施例,在工件处理的各阶段之后半导体处理装置的状态的图表。所述半导体处理装置可类似于绘示于图1中的装置100。尽管以下描述系指图1的装置,但应了解,图9的揭露内容可应用于本文中所揭露的其它装置,以及其它适当的半导体处理装置,但流动速率以及压力参数的详情可能因不同反应器设计而异。
第一行列出根据一实施例的工件处理的不同阶段,其可类似于上文描述的各阶段。参看图1以及图9,所述阶段为:设定初始开始条件;打开门阀112;关闭门阀112;在反应室101与装载室102之间建立正压力梯度;升高支撑件109;在反应室101与装载室102之间建立负压力梯度;开始在反应室101中处理工件W;在反应室101与装载室102之间重新建立正压力梯度;以及降低支撑件109。
第一列列出根据一实施例的装置100的不同态样,其状态在图表中给出。参看图1以及图9,所述态样为:气体经由反应室入口103流入反应室101中的大约速率;反应室出口104是否连接至抽吸机构;反应室101 中的大约压力;净化气体经由装载室入口105流入装载室中的大约速率;装载室出口106是否连接至真空抽汲,以及真空抽汲的强度;装载室102中的大约压力;净气流被引导进入的腔室;具有较大压力的腔室;以及工件支撑件109的位置。
虽然本发明已在某些实施方案和实施例的上下文中公开,但是本领域技术人员应该理解,本发明扩展超过特定公开的实施方案至本发明的其它可替换的实施方案和/或用途及其明显的改变形式。因此,本文公开的本发明的范围旨在不应当受到上述特定公开的实施方案的限制,而是应该仅通过清楚地阅读所附权利要求书来确定。
Claims (23)
1.一种半导体处理装置,包括:
位于装载室上方的横流反应室,所述装载室与所述横流反应室由包括开口的底板隔开;
可移动工件支撑件,其经构造以保持半导体工件;
驱动机构,其经构造以使所述工件支撑件在装载位置与处理位置之间移动;
反应室入口;
反应室出口,其中所述反应室入口大致位于所述反应室出口对面,使得从所述反应室入口流到所述反应室出口的反应气体大致平行于所述工件的面而行进;
装载室入口;
装载室出口;以及
控制系统,其经构造以控制流过所述反应室入口和出口以及所述装载室入口和出口的气流,使得当所述工件支撑件移动时,所述反应室的压力高于所述装载室的压力,并且当所述工件支撑件处于所述处理位置时,所述反应室的压力低于所述装载室的压力。
2.如权利要求1所述的装置,还包括两个或两个以上独立控制的阀,所述阀经构造以允许调节所述反应室与所述装载室之间的相对压力和/或流动方向。
3.如权利要求1所述的装置,其中所述控制系统进一步经构造以:
当所述工件支撑件移动时,维持净化气体流动通过所述反应室入口;以及
当所述工件支撑件移动时,维持气体流动通过所述装载室出口。
4.如权利要求1所述的装置,其中所述控制系统进一步经构造以:
当所述工件支撑件处于所述处理位置时,维持净化气体流动通过所述装载室入口;以及
当所述工件支撑件处于所述处理位置时,维持气体流动通过所述反应室出口。
5.如权利要求1所述的装置,其中当所述工件支撑件处于所述处理位置时,所述工件支撑件啮合所述底板开口。
6.如权利要求5所述的装置,其中啮合包括在所述底板与所述工件支撑件之间维持间隙。
7.如权利要求5所述的装置,其中啮合包括在所述底板与所述工件支撑件之间形成密封。
8.一种半导体处理装置,包括:
位于装载室上方的反应室,所述装载室与所述反应室由包括开口的底板隔开;
可移动工件支撑件,其经构造以在装载位置与处理位置之间移动,其中当所述工件支撑件处于所述处理位置时,所述工件支撑件啮合所述底板开口,以在所述工件支撑件与所述底板开口之间形成密封;
反应室入口;
反应室出口;
装载室入口;
装载室出口;以及
控制系统,其经构造以控制流过所述反应室入口和出口以及所述装载室入口和出口的气流,使得当所述工件支撑件移动时,所述反应室的压力高于所述装载室的压力,并且当所述工件支撑件处于所述处理位置时,所述反应室的压力低于所述装载室的压力。
9.如权利要求8所述的装置,其中所述反应室为横流反应室。
10.如权利要求8所述的装置,其中所述控制系统进一步经构造以:
当所述工件支撑件移动时,维持净化气体流动通过所述反应室入口;以及
当所述工件支撑件移动时,维持气体流动通过所述装载室出口。
11.如权利要求8所述的装置,其中所述反应室入口大致位于所述反应室出口对面,使得从所述入口流至所述出口的反应气体大致平行于所述工件的面而行进。
12.一种用于在半导体处理装置中处理半导体工件的方法,所述半导体处理装置包括位于装载室上方的横流反应室,所述装载室与所述横流反应室由包括开口的底板隔开,所述方法包括:
当可移动工件支撑件处于装载位置时,将半导体工件装载于所述支撑件上;
使所述工件支撑件在所述装载位置与处理位置之间移动;
当所述工件支撑件移动时,通过控制流过反应室入口和出口以及装载室入口和出口的气流,维持所述反应室中的压力高于所述装载室中的压力;
在将所述工件支撑件移动至所述处理位置之后,处理所述工件,其中的处理包括使反应气体大致平行于所述工件的面而从所述反应室的入口流动到所述反应室的出口;以及
在处理期间,通过控制流过所述反应室入口和出口以及所述装载室入口和出口的气流,维持所述反应室中的压力低于所述装载室中的压力。
13.如权利要求12所述的方法,还包括:
在所述工件支撑件移动时,使净化气体流入所述反应室中;以及
当所述工件支撑件移动时,从所述装载室抽汲出气体。
14.如权利要求12所述的方法,还包括:
在处理期间,使净化气体流入所述装载室中;以及
在处理期间,从所述反应室抽汲出气体。
15.如权利要求14所述的方法,还包括:
在处理后,使所述工件支撑件从所述处理位置移动至所述装载位置。
16.如权利要求12所述的方法,其中当所述工件支撑件处于所述处理位置时,所述工件支撑件啮合所述底板开口。
17.如权利要求16所述的方法,其中啮合包括在所述底板与所述工件支撑件之间维持间隙。
18.如权利要求16所述的方法,其中啮合包括在所述底板与所述工件支撑件之间形成密封。
19.一种用于在半导体处理装置中处理工件的方法,所述半导体处理装置包括位于装载室上方的反应室,所述装载室与所述反应室由包括开口的底板隔开,所述方法包括:
当可移动工件支撑件处于装载位置时,将半导体工件装载于所述支撑件上;
使所述工件支撑件在所述装载位置与处理位置之间移动;
当所述工件支撑件处于所述处理位置时,在所述工件支撑件与所述底板开口之间形成密封;
当所述工件支撑件移动时,通过控制流过反应室入口和出口以及装载室入口和出口的气流,维持所述反应室中的压力高于所述装载室中的压力,并且当所述工件支撑件移动时,使气体从所述反应室流入所述装载室中;以及
在处理期间,通过控制流过所述反应室入口和出口以及所述装载室入口和出口的气流,维持所述反应室中的压力低于所述装载室中的压力。
20.如权利要求19所述的方法,还包括:
当所述工件支撑件处于所述处理位置时,使气体从所述装载室流入所述反应室中。
21.如权利要求19所述的方法,还包括:
当所述工件支撑件移动时,使净化气体流入所述反应室中;以及
当所述工件支撑件移动时,从所述装载室抽汲气体。
22.如权利要求20所述的方法,还包括:
当所述工件支撑件处于所述处理位置时,使净化气体流入所述装载室中;以及
当所述工件支撑件处于所述处理位置时,从所述反应室抽汲气体。
23.如权利要求19所述的方法,还包括在所述工件支撑件被移动到所述处理位置之后处理所述工件,其中处理包括使反应气体大致平行于所述工件的面而从所述反应室入口流动到所述反应室出口。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US12/368,081 | 2009-02-09 | ||
US12/368,081 US8287648B2 (en) | 2009-02-09 | 2009-02-09 | Method and apparatus for minimizing contamination in semiconductor processing chamber |
PCT/US2010/020098 WO2010090781A2 (en) | 2009-02-09 | 2010-01-05 | Method and apparatus for minimizing contamination in semiconductor processing chamber |
Publications (2)
Publication Number | Publication Date |
---|---|
CN102308362A CN102308362A (zh) | 2012-01-04 |
CN102308362B true CN102308362B (zh) | 2014-02-26 |
Family
ID=42540549
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
CN201080006839.6A Active CN102308362B (zh) | 2009-02-09 | 2010-01-05 | 半导体处理室中减少污染的方法及其装置 |
Country Status (5)
Country | Link |
---|---|
US (2) | US8287648B2 (zh) |
KR (1) | KR101535576B1 (zh) |
CN (1) | CN102308362B (zh) |
TW (1) | TWI515816B (zh) |
WO (1) | WO2010090781A2 (zh) |
Families Citing this family (386)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8986456B2 (en) | 2006-10-10 | 2015-03-24 | Asm America, Inc. | Precursor delivery system |
JP5347294B2 (ja) * | 2007-09-12 | 2013-11-20 | 東京エレクトロン株式会社 | 成膜装置、成膜方法及び記憶媒体 |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US8216380B2 (en) * | 2009-01-08 | 2012-07-10 | Asm America, Inc. | Gap maintenance for opening to process chamber |
US8287648B2 (en) | 2009-02-09 | 2012-10-16 | Asm America, Inc. | Method and apparatus for minimizing contamination in semiconductor processing chamber |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
JP5835722B2 (ja) * | 2009-12-10 | 2015-12-24 | オルボテック エルティ ソラー,エルエルシー | 自動順位付け多方向直列型処理装置 |
US8459276B2 (en) | 2011-05-24 | 2013-06-11 | Orbotech LT Solar, LLC. | Broken wafer recovery system |
CN103548130B (zh) * | 2011-05-25 | 2016-08-17 | 村田机械株式会社 | 载入机装置、搬运系统、以及容器搬出方法 |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) * | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
CN102644062A (zh) * | 2012-03-30 | 2012-08-22 | 北京七星华创电子股份有限公司 | 一种在线原子层沉积装置和沉积方法 |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
TWI622664B (zh) | 2012-05-02 | 2018-05-01 | Asm智慧財產控股公司 | 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法 |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
EP2959504B1 (en) * | 2013-02-25 | 2018-07-04 | Kla-Tencor Corporation | Method and system for gas flow mitigation of molecular contamination of optics |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
NL2010471C2 (en) * | 2013-03-18 | 2014-09-24 | Levitech B V | Substrate processing apparatus. |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
JP6908999B2 (ja) | 2013-08-12 | 2021-07-28 | アプライド マテリアルズ イスラエル リミテッド | 密封されたチャンバを形成するためのシステムおよび方法 |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
JP6354539B2 (ja) * | 2014-11-25 | 2018-07-11 | 東京エレクトロン株式会社 | 基板処理装置、基板処理方法、記憶媒体 |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
DK178352B1 (da) * | 2015-02-27 | 2016-01-04 | Intelligent Systems As | Transport- og lagersystem til servicering af et antal behandlings og plejeområder på et hospital, samt fremgangsmåde til drift heraf. |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) * | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
CN107345293B (zh) * | 2016-05-06 | 2019-07-05 | 北京北方华创微电子装备有限公司 | 反应腔室及半导体加工设备 |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
JP6820186B2 (ja) * | 2016-11-22 | 2021-01-27 | 株式会社アドテックエンジニアリング | 基板取り扱い装置及び基板取り扱い方法 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11251019B2 (en) * | 2016-12-15 | 2022-02-15 | Toyota Jidosha Kabushiki Kaisha | Plasma device |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
JP6863199B2 (ja) | 2017-09-25 | 2021-04-21 | トヨタ自動車株式会社 | プラズマ処理装置 |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10872803B2 (en) | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10872804B2 (en) * | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
US11639811B2 (en) | 2017-11-27 | 2023-05-02 | Asm Ip Holding B.V. | Apparatus including a clean mini environment |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
US11482412B2 (en) | 2018-01-19 | 2022-10-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN116732497A (zh) | 2018-02-14 | 2023-09-12 | Asm Ip私人控股有限公司 | 通过循环沉积工艺在衬底上沉积含钌膜的方法 |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI843623B (zh) | 2018-05-08 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TW202349473A (zh) | 2018-05-11 | 2023-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
US12012652B2 (en) * | 2018-05-21 | 2024-06-18 | Applied Materials, Inc. | Single process volume to perform high-pressure and low-pressure processes with features to reduce cross-contamination |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) * | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
CN112292477A (zh) | 2018-06-27 | 2021-01-29 | Asm Ip私人控股有限公司 | 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构 |
KR20210024462A (ko) | 2018-06-27 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체 |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
TWI728456B (zh) | 2018-09-11 | 2021-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 相對於基板的薄膜沉積方法 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
TWI685059B (zh) * | 2018-12-11 | 2020-02-11 | 財團法人國家實驗研究院 | 半導體反應裝置與方法 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI839443B (zh) | 2019-01-17 | 2024-04-21 | 荷蘭商 Asm Ip 私人控股有限公司 | 通風基座 |
TW202405220A (zh) | 2019-01-17 | 2024-02-01 | 荷蘭商Asm Ip 私人控股有限公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
JP7509548B2 (ja) | 2019-02-20 | 2024-07-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
JP2020136678A (ja) | 2019-02-20 | 2020-08-31 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための方法および装置 |
TWI838458B (zh) | 2019-02-20 | 2024-04-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於3d nand應用中之插塞填充沉積之設備及方法 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188254A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
US11404302B2 (en) * | 2019-05-22 | 2022-08-02 | Asm Ip Holding B.V. | Substrate susceptor using edge purging |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (zh) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
CN112635282A (zh) | 2019-10-08 | 2021-04-09 | Asm Ip私人控股有限公司 | 具有连接板的基板处理装置、基板处理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11764101B2 (en) | 2019-10-24 | 2023-09-19 | ASM IP Holding, B.V. | Susceptor for semiconductor substrate processing |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11450529B2 (en) | 2019-11-26 | 2022-09-20 | Asm Ip Holding B.V. | Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN112992667A (zh) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | 形成氮化钒层的方法和包括氮化钒层的结构 |
US11527403B2 (en) | 2019-12-19 | 2022-12-13 | Asm Ip Holding B.V. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
TW202140135A (zh) | 2020-01-06 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氣體供應總成以及閥板總成 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
KR20210100010A (ko) | 2020-02-04 | 2021-08-13 | 에이에스엠 아이피 홀딩 비.브이. | 대형 물품의 투과율 측정을 위한 방법 및 장치 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210116249A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
CN113555279A (zh) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | 形成含氮化钒的层的方法及包含其的结构 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202200837A (zh) | 2020-05-22 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基材上形成薄膜之反應系統 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US20210375650A1 (en) * | 2020-06-01 | 2021-12-02 | Applied Materials, Inc. | High temperature and vacuum isolation processing mini-environments |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202202649A (zh) | 2020-07-08 | 2022-01-16 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US12080571B2 (en) | 2020-07-08 | 2024-09-03 | Applied Materials, Inc. | Substrate processing module and method of moving a workpiece |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
TW202217037A (zh) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積釩金屬的方法、結構、裝置及沉積總成 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
USD1031676S1 (en) | 2020-12-04 | 2024-06-18 | Asm Ip Holding B.V. | Combined susceptor, support, and lift system |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0649165A1 (en) * | 1993-09-21 | 1995-04-19 | Applied Materials, Inc. | Method for reducing particulate contamination during plasma processing of semiconductor devices |
US6158946A (en) * | 1996-04-24 | 2000-12-12 | Tokyo Electron Limited | Positioning apparatus for substrates to be processed |
US6322631B1 (en) * | 1995-02-10 | 2001-11-27 | Tokyo Electron Limited | Heat treatment method and its apparatus |
CN1561536A (zh) * | 2001-08-31 | 2005-01-05 | 阿赛斯特技术公司 | 晶片机 |
CN101082125A (zh) * | 2005-11-18 | 2007-12-05 | 东京毅力科创株式会社 | 用于热和等离子体增强气相沉积的设备和其操作方法 |
Family Cites Families (67)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4828224A (en) | 1987-10-15 | 1989-05-09 | Epsilon Technology, Inc. | Chemical vapor deposition system |
US5435682A (en) | 1987-10-15 | 1995-07-25 | Advanced Semiconductor Materials America, Inc. | Chemical vapor desposition system |
US4889609A (en) | 1988-09-06 | 1989-12-26 | Ovonic Imaging Systems, Inc. | Continuous dry etching system |
US5186718A (en) | 1989-05-19 | 1993-02-16 | Applied Materials, Inc. | Staged-vacuum wafer processing system and method |
JP2644912B2 (ja) | 1990-08-29 | 1997-08-25 | 株式会社日立製作所 | 真空処理装置及びその運転方法 |
JP3020567B2 (ja) | 1990-08-20 | 2000-03-15 | アネルバ株式会社 | 真空処理方法 |
JPH04118925A (ja) | 1990-09-10 | 1992-04-20 | Fujitsu Ltd | 複合型処理装置 |
US5286296A (en) | 1991-01-10 | 1994-02-15 | Sony Corporation | Multi-chamber wafer process equipment having plural, physically communicating transfer means |
JP3176118B2 (ja) | 1992-03-27 | 2001-06-11 | 株式会社東芝 | 多室型基板処理装置 |
KR100267617B1 (ko) | 1993-04-23 | 2000-10-16 | 히가시 데쓰로 | 진공처리장치 및 진공처리방법 |
US5647945A (en) | 1993-08-25 | 1997-07-15 | Tokyo Electron Limited | Vacuum processing apparatus |
JP3050354B2 (ja) | 1993-09-20 | 2000-06-12 | 東京エレクトロン株式会社 | 処理方法 |
US5616208A (en) | 1993-09-17 | 1997-04-01 | Tokyo Electron Limited | Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus |
JP3394293B2 (ja) | 1993-09-20 | 2003-04-07 | 株式会社日立製作所 | 試料の搬送方法および半導体装置の製造方法 |
JP3486821B2 (ja) | 1994-01-21 | 2004-01-13 | 東京エレクトロン株式会社 | 処理装置及び処理装置内の被処理体の搬送方法 |
JP3254482B2 (ja) | 1994-03-31 | 2002-02-04 | 東京エレクトロン株式会社 | プラズマ処理装置及びそのクリーニング方法 |
JPH07283147A (ja) | 1994-04-15 | 1995-10-27 | Toshiba Corp | 薄膜形成方法 |
US5934856A (en) | 1994-05-23 | 1999-08-10 | Tokyo Electron Limited | Multi-chamber treatment system |
US5651868A (en) | 1994-10-26 | 1997-07-29 | International Business Machines Corporation | Method and apparatus for coating thin film data storage disks |
JP3644036B2 (ja) | 1995-02-15 | 2005-04-27 | 株式会社日立製作所 | 半導体装置の製造方法および半導体製造装置 |
US5586585A (en) | 1995-02-27 | 1996-12-24 | Asyst Technologies, Inc. | Direct loadlock interface |
JP3270852B2 (ja) | 1995-04-20 | 2002-04-02 | 東京エレクトロン株式会社 | 圧力調整装置及びこれを用いた部屋の連通方法 |
JP3288200B2 (ja) | 1995-06-09 | 2002-06-04 | 東京エレクトロン株式会社 | 真空処理装置 |
US5997588A (en) | 1995-10-13 | 1999-12-07 | Advanced Semiconductor Materials America, Inc. | Semiconductor processing system with gas curtain |
US5820692A (en) | 1996-01-16 | 1998-10-13 | Fsi Interntional | Vacuum compatible water vapor and rinse process module |
US5810942A (en) | 1996-09-11 | 1998-09-22 | Fsi International, Inc. | Aerodynamic aerosol chamber |
US5788778A (en) | 1996-09-16 | 1998-08-04 | Applied Komatsu Technology, Inc. | Deposition chamber cleaning technique using a high power remote excitation source |
US6048154A (en) | 1996-10-02 | 2000-04-11 | Applied Materials, Inc. | High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock |
US5879574A (en) | 1996-11-13 | 1999-03-09 | Applied Materials, Inc. | Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process |
US5844195A (en) | 1996-11-18 | 1998-12-01 | Applied Materials, Inc. | Remote plasma source |
US6224312B1 (en) | 1996-11-18 | 2001-05-01 | Applied Materials, Inc. | Optimal trajectory robot motion |
JPH10270527A (ja) | 1997-03-21 | 1998-10-09 | Ulvac Japan Ltd | 複合型真空処理装置 |
US6312525B1 (en) | 1997-07-11 | 2001-11-06 | Applied Materials, Inc. | Modular architecture for semiconductor wafer fabrication equipment |
JP3425592B2 (ja) | 1997-08-12 | 2003-07-14 | 東京エレクトロン株式会社 | 処理装置 |
US6042623A (en) | 1998-01-12 | 2000-03-28 | Tokyo Electron Limited | Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor |
KR19990076407A (ko) | 1998-03-31 | 1999-10-15 | 윤종용 | 반도체장치의 제조공정에 있어서의 박막 형성방법 |
JPH11288992A (ja) | 1998-04-06 | 1999-10-19 | Nissin Electric Co Ltd | 被処理物体搬送チャンバ |
US6161311A (en) | 1998-07-10 | 2000-12-19 | Asm America, Inc. | System and method for reducing particles in epitaxial reactors |
US6016611A (en) | 1998-07-13 | 2000-01-25 | Applied Komatsu Technology, Inc. | Gas flow control in a substrate processing system |
US6409837B1 (en) | 1999-01-13 | 2002-06-25 | Tokyo Electron Limited | Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor |
US6106634A (en) | 1999-02-11 | 2000-08-22 | Applied Materials, Inc. | Methods and apparatus for reducing particle contamination during wafer transport |
FI118342B (fi) | 1999-05-10 | 2007-10-15 | Asm Int | Laite ohutkalvojen valmistamiseksi |
US6251195B1 (en) | 1999-07-12 | 2001-06-26 | Fsi International, Inc. | Method for transferring a microelectronic device to and from a processing chamber |
KR100767762B1 (ko) | 2000-01-18 | 2007-10-17 | 에이에스엠 저펜 가부시기가이샤 | 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치 |
JP2001284433A (ja) | 2000-01-28 | 2001-10-12 | Sony Corp | 基板移載装置及び基板移載方法 |
JP3723712B2 (ja) | 2000-02-10 | 2005-12-07 | 株式会社日立国際電気 | 基板処理装置及び基板処理方法 |
US6488778B1 (en) | 2000-03-16 | 2002-12-03 | International Business Machines Corporation | Apparatus and method for controlling wafer environment between thermal clean and thermal processing |
JP3676983B2 (ja) | 2000-03-29 | 2005-07-27 | 株式会社日立国際電気 | 半導体製造方法、基板処理方法、及び半導体製造装置 |
JP3760731B2 (ja) * | 2000-07-11 | 2006-03-29 | ソニーケミカル株式会社 | バンプ付き配線回路基板及びその製造方法 |
JP2003059997A (ja) | 2001-08-08 | 2003-02-28 | Rohm Co Ltd | 処理装置および処理方法 |
US6672864B2 (en) | 2001-08-31 | 2004-01-06 | Applied Materials, Inc. | Method and apparatus for processing substrates in a system having high and low pressure areas |
US7204886B2 (en) | 2002-11-14 | 2007-04-17 | Applied Materials, Inc. | Apparatus and method for hybrid chemical processing |
US6899507B2 (en) | 2002-02-08 | 2005-05-31 | Asm Japan K.K. | Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections |
TWI273642B (en) | 2002-04-19 | 2007-02-11 | Ulvac Inc | Film-forming apparatus and film-forming method |
JP4531557B2 (ja) | 2002-05-21 | 2010-08-25 | エーエスエム アメリカ インコーポレイテッド | 半導体処理ツール内チャンバ間の相互汚染の減少 |
US7521089B2 (en) | 2002-06-13 | 2009-04-21 | Tokyo Electron Limited | Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers |
JP4121413B2 (ja) * | 2003-03-31 | 2008-07-23 | 株式会社神戸製鋼所 | 板状被処理品の高圧処理装置 |
US7195679B2 (en) | 2003-06-21 | 2007-03-27 | Texas Instruments Incorporated | Versatile system for wafer edge remediation |
JP4417669B2 (ja) | 2003-07-28 | 2010-02-17 | 日本エー・エス・エム株式会社 | 半導体処理装置および半導体ウエハーの導入方法 |
US7235482B2 (en) | 2003-09-08 | 2007-06-26 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology |
WO2005042160A2 (en) | 2003-10-29 | 2005-05-12 | Asm America, Inc. | Reaction system for growing a thin film |
US7699932B2 (en) * | 2004-06-02 | 2010-04-20 | Micron Technology, Inc. | Reactors, systems and methods for depositing thin films onto microfeature workpieces |
US7756599B2 (en) | 2004-10-28 | 2010-07-13 | Tokyo Electron Limited | Substrate processing apparatus, program for performing operation and control method thereof, and computer readable storage medium storing the program |
US7521374B2 (en) | 2004-11-23 | 2009-04-21 | Applied Materials, Inc. | Method and apparatus for cleaning semiconductor substrates |
JP2006176826A (ja) * | 2004-12-22 | 2006-07-06 | Canon Anelva Corp | 薄膜処理装置 |
US8216380B2 (en) * | 2009-01-08 | 2012-07-10 | Asm America, Inc. | Gap maintenance for opening to process chamber |
US8287648B2 (en) | 2009-02-09 | 2012-10-16 | Asm America, Inc. | Method and apparatus for minimizing contamination in semiconductor processing chamber |
-
2009
- 2009-02-09 US US12/368,081 patent/US8287648B2/en active Active
-
2010
- 2010-01-05 WO PCT/US2010/020098 patent/WO2010090781A2/en active Application Filing
- 2010-01-05 CN CN201080006839.6A patent/CN102308362B/zh active Active
- 2010-01-05 KR KR1020117015867A patent/KR101535576B1/ko active IP Right Grant
- 2010-01-18 TW TW099101229A patent/TWI515816B/zh active
-
2012
- 2012-09-10 US US13/608,075 patent/US8759226B2/en active Active
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0649165A1 (en) * | 1993-09-21 | 1995-04-19 | Applied Materials, Inc. | Method for reducing particulate contamination during plasma processing of semiconductor devices |
US6322631B1 (en) * | 1995-02-10 | 2001-11-27 | Tokyo Electron Limited | Heat treatment method and its apparatus |
US6158946A (en) * | 1996-04-24 | 2000-12-12 | Tokyo Electron Limited | Positioning apparatus for substrates to be processed |
CN1561536A (zh) * | 2001-08-31 | 2005-01-05 | 阿赛斯特技术公司 | 晶片机 |
CN101082125A (zh) * | 2005-11-18 | 2007-12-05 | 东京毅力科创株式会社 | 用于热和等离子体增强气相沉积的设备和其操作方法 |
Also Published As
Publication number | Publication date |
---|---|
WO2010090781A3 (en) | 2011-02-03 |
WO2010090781A2 (en) | 2010-08-12 |
TW201034107A (en) | 2010-09-16 |
CN102308362A (zh) | 2012-01-04 |
US8759226B2 (en) | 2014-06-24 |
KR101535576B1 (ko) | 2015-07-09 |
US8287648B2 (en) | 2012-10-16 |
US20130004288A1 (en) | 2013-01-03 |
US20100202860A1 (en) | 2010-08-12 |
KR20110118771A (ko) | 2011-11-01 |
TWI515816B (zh) | 2016-01-01 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
CN102308362B (zh) | 半导体处理室中减少污染的方法及其装置 | |
US7699932B2 (en) | Reactors, systems and methods for depositing thin films onto microfeature workpieces | |
KR101521466B1 (ko) | 가스 공급 장치, 열처리 장치, 가스 공급 방법 및 열처리 방법 | |
KR101403818B1 (ko) | 성막 장치 및 성막 방법 | |
KR102135229B1 (ko) | 커스터마이즈 가능한 유동 주입을 구비하는 에피택셜 챔버 | |
KR101204614B1 (ko) | 가스 공급 장치, 성막 장치, 및 성막 방법 | |
KR101770970B1 (ko) | 이송 챔버 가스 퍼지 장치, 전자 디바이스 프로세싱 시스템들, 및 퍼지 방법들 | |
US20150262816A1 (en) | Method of manufacturing semiconductor device and substrate processing apparatus | |
KR101614275B1 (ko) | 반도체 장치의 제조 방법, 기판 처리 방법 및 기판 처리 장치 | |
JP2014201804A (ja) | 回転型セミバッチald装置およびプロセス | |
TW201041069A (en) | Substrate processing apparatus | |
US20180112312A1 (en) | Film forming apparatus and film forming method | |
US20210166948A1 (en) | Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium | |
SG177103A1 (en) | Support structure and processing apparatus | |
CN104681464A (zh) | 衬底处理装置及半导体器件的制造方法 | |
KR100996689B1 (ko) | 반도체장치의 제조방법, 막생성방법 및 기판처리장치 | |
TWI545225B (zh) | Reaction chamber and its air flow control method | |
TW202003908A (zh) | 原子層沉積裝置、半導體製程裝置及控制原子層沉積裝置之方法 | |
KR20130093029A (ko) | 가스 공급 장치 및 열처리 장치 | |
JPWO2012026241A1 (ja) | 半導体装置の製造方法、及び基板処理装置 | |
KR102588608B1 (ko) | 기판 처리 방법 | |
US20150337460A1 (en) | Substrate-processing device | |
KR20080110094A (ko) | Lpcvd 장치 및 lpcvd 장치를 이용한 폴리 실리콘증착 방법 | |
JP2016516292A (ja) | 基板処理装置 | |
JP2011222677A (ja) | 基板処理装置 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
C06 | Publication | ||
PB01 | Publication | ||
C10 | Entry into substantive examination | ||
SE01 | Entry into force of request for substantive examination | ||
C14 | Grant of patent or utility model | ||
GR01 | Patent grant | ||
TR01 | Transfer of patent right |
Effective date of registration: 20210408 Address after: Holland Almere Patentee after: ASM IP Holding B.V. Address before: Arizona, USA Patentee before: ASM AMERICA, Inc. |
|
TR01 | Transfer of patent right |