KR20110118771A - 반도체 가공 챔버의 오염을 최소화하기 위한 방법 및 장치 - Google Patents

반도체 가공 챔버의 오염을 최소화하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20110118771A
KR20110118771A KR1020117015867A KR20117015867A KR20110118771A KR 20110118771 A KR20110118771 A KR 20110118771A KR 1020117015867 A KR1020117015867 A KR 1020117015867A KR 20117015867 A KR20117015867 A KR 20117015867A KR 20110118771 A KR20110118771 A KR 20110118771A
Authority
KR
South Korea
Prior art keywords
support means
reaction chamber
loading
chamber
workpiece
Prior art date
Application number
KR1020117015867A
Other languages
English (en)
Other versions
KR101535576B1 (ko
Inventor
조세프 씨. 리드
에릭 쉐로
Original Assignee
에이에스엠 아메리카, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아메리카, 인코포레이티드 filed Critical 에이에스엠 아메리카, 인코포레이티드
Publication of KR20110118771A publication Critical patent/KR20110118771A/ko
Application granted granted Critical
Publication of KR101535576B1 publication Critical patent/KR101535576B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

반도체 처리 장치는 반응 챔버, 로딩 챔버, 이동 지지수단, 구동 메커니즘 및 제어 시스템을 포함한다. 반응 챔버는 베이스 플레이트가 포함한다. 베이스 플레이트는 개구부를 포함한다. 이동 지지수단은 작업소재를 고정하도록 구성된다. 구동 메커니즘은 처리 위치로 베이스 플레이트의 개구부를 향해 지지수단에 고정된 작업소재를 이동하도록 구성된다. 제어 시스템은 작업소재가 움직이는 동안 반응 챔버와 로딩챔버 사이에 양압 구배를 형성하도록 구성된다.
작업소재 지지수단이 움직이는 동안, 퍼지 가스는 반응 챔버에서 로딩 챔버로 흐른다. 제어 시스템은 작업소재가 처리되는 동안 반응 챔버 및 로딩 챔버 사이에 부압 구배를 생성하도록 구성된다. 반응챔버가 처리 위치에서 로딩 챔버로부터 씰링되지 않으면, 반응 챔버 지지수단이 처리 위치에 있는 동안 퍼지가스는 로딩 챔버에서 반응챔버로 흐를 수 있다.

Description

반도체 가공 챔버의 오염을 최소화하기 위한 방법 및 장치{Method and apparatus for minimizing contamination in semiconductor processing chamber}
본 발명은 반도체 공정을 위한 기판을 처리하는 시스템 및 방법에 관한 것이다.
트랜지스터, 다이오드 및 집적회로와 같은 반도체 소자의 처리공정에서, 복수의 상기 장치는 일반적으로 기판, 웨이퍼, 또는 작업소재와 같은 반도체 소재의 박막 슬라이스에 통상 동시에 조립된다.
이러한 반도체 소자의 제조시, 작업소재가 반도체 소자의 손실을 초래할 수 있는 미립자로 오염되지 않는 것이 바람직하다. 따라서, 작업소재가 처리되는 반응로는 반응 공간으로 들어오는 오염을 방지하기 위해 반응 공간의 외부로부터 격리된다.
한 실시예에 따라, 반도체 처리 장치는 로딩 챔버 위에 위치되고, 개구부를 가진 베이스 플레이트로 분리된 크로스 플로우 반응 챔버를 포함한다. 가동 작업소재 지지수단은 반도체 작업소재를 고정하도록 구성되어 있다. 구동 메커니즘로드 로딩 위치 및 처리 위치 사이의 작업소재 지지수단을 이동하도록 구성되어 있다. 상기 장치는 또한 작업소재 지지수단이 이동되는 동안 로딩 챔버보다 높게 반응 챔버의 압력을 제어하도록 구성된 제어 시스템을 포함한다.
제어 시스템은 또한 작업소재 지지수단이 처리 위치에 있는 동안, 로딩 챔버보다 낮게 반응 챔버의 압력을 제어하도록 구성될 수 있다.
한 실시예에 따라, 반도체 처리 장치는 로딩 챔버 위에 위치되고, 개구부를 가진 베이스 플레이트로 분리된 반응 챔버를 포함한다. 가동 작업소재 지지수단은 로딩 위치 및 처리 위치 사이에서 이동하도록 구성된다. 작업소재 지지수단은, 작업소재 지지수단이 처리 위치에 있을 때, 작업 소재 지지수단과 베이스 플레이트 개구부사이의 씰을 형성하도록 베이스 플레이트 개구부를 연결한다. 상기 장치는 또한 작업소재 지지수단이 이동하는 동안, 로딩 챔버보다 높게 반응 챔버의 압력을 제어하도록 구성된 제어 시스템을 포함한다.
한 실시예에 따라, 로딩 챔버 위에 위치되고, 개구부를 가진 베이스 플레이트로 분리된 크로스 플로우 반응 챔버를 포함하는 반도체 처리 장치의 반도체 작업소재를 처리하기 위한 방법이 제공된다. 상기 방법은 지지수단이 로딩 위치에 있을 때, 반도체 작업소재를 가동 작업소재 지지수단에 적재하는 것을 포함한다. 작업소재 지지수단은 로딩 위치 및 처리 위치 사이에서 이동한다. 작업소재 지지수단이 이동하는 동안, 로딩 챔버에서보다 반응 챔버에서 더 높은 압력이 유지된다. 작업소재 지지수단이 처리위치로 이동한후 작업소재가 처리되며, 처리는 반응가스가 작업소재의 면에 거의 평행하게 흐르는 것을 포함한다.
반도체 작업소재를 처리하는 방법은 처리하는 동안 로딩 챔버보다 반응 챔버에 낮은 압력을 유지하는 것을 포함할 수 있다.
한 실시예에 따라, 로딩 챔버 위에 위치되고, 개구부를 가진 베이스 플레이트로 분리된 반응 챔버를 포함하는 반도체 처리 장치의 반도체 작업소재를 처리하기 위한 방법이 제공된다. 상기 방법은 지지수단이 로딩 위치에 있을 때, 반도체 작업소재를 가동 작업소재 지지수단에 적재하는 것을 포함한다. 작업소재 지지수단은 로딩 위치 및 처리 위치 사이에서 이동한다. 작업소재 지지수단이 처리 위치에 있을 때, 작업 소재 지지수단과 베이스 플레이트 개구부사이의 씰이 형성된다. 가스는 잡업 소재 지지수단이 이동할 때 반응 챔버로부터 로딩 챔버로 흐른다.
반도체 처리 장치에 작업소재를 처리하는 방법은 또한 작업소재 지지수단이 처리 위치에 있을 때, 로딩 챔버에서 반응 챔버로 가스를 흐르게 하는 것을 포함할 수 있다.
상기 실시예에서, 작업소재 지지수단은 작업소재 지지수단이 처리 위치에 있을 때, 베이스 플레이트를 연결할 수 있다. 상기 연결은 베이스 플레이트 및 작업소재 지지수단 사이에 갭(gap)을 유지하는 것을 포함할 수 있다. 다른 배치에서, 상기 연결은 베이스 플레이트 및 작업소재 지지수단 사이에 씰을 형성할 수 있다.
본 발명 및 선행기술을 넘어선 장점을 요약하기 위해, 본 발명의 특정 목적 및 장점이 상술된 바 있다. 상기와 같은 목적 또는 장점이 반드시 발명의 특정 실시예에 따라 얻을 수 있는 것은 아니라는 것을 이해해야한다. 따라서, 예를 들어, 당업자는 본원발명의 목적 또는 장점을 제안된 방법대로 달성하지 않고도 제안된 장점 또는 그룹을 최적화하는 방식으로 구체화 또는 실현할 수 있다는 것을 인식할 것이다.
상기 모든 실시예는 여기에 공개된 본 발명의 범위 내에 포함될 것을 의도하는 것이다. 상기 및 다른 실시예는 특정 실시예에 제한되지 않고 첨부된 도면을 참조로 특정 실시예의 하기하는 상세한 설명으로부터 당업자에게 명백할 것이다.
본 발명의 상기 및 다른 특징, 양태 및 장점은 본 발명을 제한하는 의도가 아닌 특정 실시예의 도면을 참조로 하기에 설명된다.
도 1은 한 실시예에 따라 로딩 위치에서 작업소재 지지수단을 가진 반도체 처리 장치의 단면도이다.
도 2는 한 실시예에 따라 처리위치에서 도시된 작업소재 지지수단을 가진 도 1의 장치를 개략적으로 도시한다.
도 3A는 다른 실시예에 따라 처리위치에서 도시된 작업소재 지지수단을 가진 반도체 처리장치의 단면도이다.
도 3B는 도 3A의 영역 B의 확대도이다.
도 4A는 다른 실시예에 따라, 처리 위치에 도시된 작업소재 지지수단을 가진 반도체 처리 장치의 단면도이다.
도 4B는 도 4A의 영역 B의 확대도이다.
도 5A-5D는 한 실시예에 따라 도 1의 장치에 작업소재를 가공하는 방법을 설명하는 개략적인 단면도.
도 6은 한 실시예에 따라, 작업소재를 처리하는 방법을 도시하는 흐름도.
도 7은 다른 실시예에 따라, 작업소재를 처리하는 방법을 도시하는 흐름도.
도 8은 다른 실시예에 따라, 작업소재를 처리하는 방법에 대한 자세한 예시를 도시하는 흐름도.
도 9는 도 8의 처리 예시에 따라, 작업소재를 처리하는 다양한 단계 동안 반도체 처리 장치의 상태를 도시하는 차트.
비록 특정 실시예와 예시가 하기에 공개되지만, 본 발명은 특별히 공개된 실시예 또는 사용과 수정 및 그 균등물의 범위를 넘는 것도 당업자에게 이해되어야 한다. 따라서 본 발명은 하기하는 특별히 공개된 실시예에 제한되지 않는다.
도 1은 반응 챔버(101) 로딩 챔버(102)를 포함하는 반도체 처리 장치(100)의 실시예를 개략적으로 도시한다. 반응 챔버(101) 및 로딩 챔버(102)는 함께 처리 모듈로 고려 될수 있다. 도시된 실시예에서, 반응 챔버(101)는 로딩챔버(102) 위에 배치되고 이들은 하기에 자세히 설명되듯이 베이스 플레이트(107)와 이동식 받침대 또는 작업소재 지지수단(109)로 분리된다.
일부 실시예에서, 반응 챔버(101)는 실제 크기를 고려하지 않은 개략적인 도면과는 반대로 로딩챔버(102)보다 작을 수 있다. 도시된 바와 같은 단일 웨이퍼 처리 모듈을 위해 반응 챔버(101)는 0.25리터 및 3 리터 사이의 부피를 가질 수 있다. 일부 실시예에서, 반응 챔버(101)는 약 1리터 이하의 부피를 가질 수 있다. 일부 실시예에서, 반응챔버는 대략 높이 5mm, 폭 600mm 폭 및 길이 900mm일 수 있다.
일부 실시예에서, 로딩 챔버(102)는 약 30리터와 50리터 사이의 부피를 가질 수 있다. 일부 실시예에서, 로딩 챔버(102)는 약 40 리터 부피를 가질 수 있다. 일부 실시예에서, 로딩 챔버(102)는 반응챔버(101)의 35-45배 부피를 가질 수 있다. 하기하는 설명을 만족시키기 위해 수정되는 적절한 장치의 예시는 아리조나, 피닉스의 ASM 아메리카사의 상용 장치인 P3000 또는 펄서 3000이다.
일부 실시예에서, 반응 챔버(101)는 하나 이상의 배출구(104) (하나만 도시) 및 하나이상의 인입구(103) (하나만 도시)를 포함할 수 있다. 처리되는 동안, 반응물 가스 및 퍼지 가스와 같은 가스는 반응 챔버 인입구(103)를 통해 반응 챔버(101)로 흐를 수 있으며, 초과 반응물과 같은 가스, 반응 부산물, 및 퍼지 가스와 같은 가스는 반응 챔버 배출구(104)를 통해 반응 챔버 (101) 밖으로 흐를 수 있다. 일부 실시예에서, 로딩 챔버(102)는 하나 이상의 배출구 106 (하나만 도시) 및 하나이상의 인입구(105)(하나만 도시)를 포함할 수 있다. 작동 시, 퍼지 가스와 같은 가스는 로딩 챔버 인입구(105)를 통해 로딩 챔버(102)로 흐를 수 있으며, 초과 반응물, 반응 부산물, 및 퍼지 가스와 같은 가스는 로딩 챔버 배출구(106)를 통해 로딩 챔버(102) 밖으로 흐를 수 있다. 인인구(103, 105) 및 배출구(104, 106)와 같이 묘사된 구성은 단지 도시를 위한 것이며, 예를 들어, 반응 챔버(101)에서 수행되는 공정, 가스의 의도된 흐름 등을 기초로 조절될 수 있다.
도시된 실시예에서, 반응 챔버(101)는 개구부(108)를 포함하는 베이스 플레이트(107)로 구성되어 있다. 베이스 플레이트(107)의 내부 변부(107)는 개구부(108)를 한정한다. 일부 실시예에서, 베이스 플레이트(107)는 티타늄을 포함할 수 있다. 도시된 실시예에서, 반응 챔버 인입구(103)는 반응 챔버 배출구(104), 반대쪽에 위치하여, 반응 챔버 인입구(103)에서 반응 챔버 배출구(104)로 흐르는 반응 가스가 작업소재 W의 면에 평행하게 진행하게 되고, 따라서, 움직일 수 있는 지지수단의 상부 표면에 평행하게 진행된다. 상기와 같은 반응로는 때로는 "크로스 플로우(교차 흐름)"또는 수평 층류 반응기라고도 한다. 일부 실시예에서, 장치(100)는 원자층 증착(ALD) 반응로일 수 있어 반응물의 펄스를 분리하여 제공하도록 제어시스템(113)에 의해 제어되는 밸브를 포함한다. 일부 실시예에서, 장치(100)는 반응챔버(101)와 로딩챔버(102)사이의 흐름방향 또는 관련 압력의 조절하기 위해 제어시스템(113)에 의해 독자적으로 제어되는 둘이상의 밸브를 포함할 수 있다.
일부 실시예에서, 반응 챔버 인입구(103)는 의도하는 패턴의 분배 가스하는 상기와같은 분배 시스템을 포함할 수 있다. 일부 실시예에서, 반응 챔버(101)는 반응 챔버 배출구(104)근처에서 테이퍼형성으로 이루어질 수 있어, 반응 챔버의 높이가 반응 챔버 배출구(104) 근처에서 감소하여 이에따라 반응 챔버 인입구를 통한 공기 흐름을 제한한다. 비록 장치(100)가 기상증착(예를 들어 화학적 기상증착, 또는 CVD, 또는 원자 층 기상 증착 또는 ALD) 반응로에 대해 하기될 수 있으나, 장치(100)는 건조 에처(etchers), 애셔(ashers), 급속 열 감소기(annealers) 등에 제한되지 않는 다른 반도체 처리 기구를 포함할 수 있다.
장치(100)는 구동 메커니즘(110)의 조작에 의해 처리 위치와 로딩 위치 사이에서 이동하도록 구성된 이동가능한 지지수단(109)을 더 포함한다. 도 1은 한 실시예에 따라, 로딩 위치의 지지수단(109)을 도시한다. 지지수단(109)은 실리콘 웨이퍼와 같은 반도체 작업소재 W(도 2 참조)를 고정하도록 구성될 수 있다. 작업소재 W 는 로봇의 엔드 이펙터와 같은 다양한 방법으로 지지수단(109)에 적재 또는 하역될 수 있다.
지지수단(109)은 패들 또는 포크를 가지는 작업소재 W의 적재 및 하역을 도움을 주는 리프트 핀(111) 또는 컷아웃을 포함할 수 있다. 지지수단(109)은 작업소재 W 를 수용하는 크기 및 형성으로 된 포켓 내에 작업소재 W 를 중력만으로 고정하거나 적재후 적절히 작업소재 W 를 고정하는 진공 시스템을 포함할 수 있다. 장치(100)은 지지수단(109)으로부터 및 지지수단으로 작업소재 W 를 적재 및 하역하기 위한 하나 또는 그 이상의 게이트 밸브들(112)(하나만 도시)을 더 포함할 수 있다. 상기 게이트 밸브(112)는 예를 들어, 전송 챔버, 하중 록, 처리 챔버, 클린품, 등에 접근이 허용된다.
제어 시스템(113)은 역시 구동 메커니즘(110)을 제어하도록 구성 또는 프로그램된다. 일부 실시예에서, 구동 메커니즘(110)은 지지수단(109)에 수직 운동을 부여하는 피스톤이나 엘리베이터를 포함할 수 있다. 구동 메커니즘(110)은 따라서 지지수단(109)을 움직이도록 구성되어 이에따라 지지수단(109)에 배치된 작업소재 W 를 반응로 오프닝 작업 동안, 로딩 위치로, 반응로 반응로 폐쇄 작업 동안 처리 위치로 이동하도록 구성된다. 구동 메커니즘(110)은 역시 지지수단(109)에 배치된 작업소재 W를 회전시키도록 구성될 수 있다.
처리 위치
도 2는 한 실시예에 따라, 처리 위치에 도시되는 지지수단(109)을 가진 장치(100)를 도시한다. 처리 위치에서, 지지수단(109)은 로딩 챔버(102)에서 반응 챔버(101)의 내부를 효과적으로 분리하거나 고립시키는 베이스 플레이트(107)를 연결한다. 일부 실시예에서, 상기 연결은 베이스 플레이트(107)와 지지수단(109) 사이의 단단한 금속대 금속 씰을 형성하는 것을 포함한다. 일부 실시예에서, 연결은 베이스 플레이트(107)와 지지수단(109) 사이에 소프트 씰을 형성하기 위해 일부분에 O-링과 같은 휘기 쉬운 재료의 압축을 포함한다. 일부 실시예에서, 연결은 씰이 형성되지 않도록 베이스 플레이트(107)와 지지수단(109) 사이에 갭을 유지하는 것을 포함한다. 연결이 지지수단(109)과 베이스 플레이트(107) 사이에 갭을 유지하는 것을 포함할지라도, 지지수단은 반응 챔버(101)와 로딩 챔버(102)사이의 유체 소통에 상당한 장벽을 형성함으로써 로딩 챔버(102)로부터 반응 챔버(101)를 여전히 효과적으로 분리한다.
갭 유지
도 3A는 구조 반응 챔버(101)와 로딩 챔버(102)를 포함하는 반도체 처리 장치(100)의 예시적인 실시예를 도시한다. 상기 장치(100)는 지지수단(109)이 처리위치에 있을 때, 지지수단(109)과 베이스 플레이트(107)이 씰을 형성하지 않을 수 있다는 것을 제외하고 상술한 장치(100)와 유사하다. 장치(100)은 처리 챔버의 개방을 위한 갭 유지라는 제목의 미국특허출원 제 12/350, 793호(2009년 1월 8일 제출; Attorney Docket ASMEX.633A)와 유사할 수 있다. 이는 지지수단이 처리위치에 있을 때 지지수단과 베이스 플레이트 사이에 갭을 유지하기 위한 방법 및 장치를 서술하기 위한 목적으로 참조로 서술된다.
도시된 실시예에서는, 지지수단(109)이 처리위치에 있을 때, 지지수단(109)과 베이스 플레이트(107')사이에 갭이 존재한다. 제어 시스템(113)은 반응 챔버(101)의 작업소재 W dlm 처리를 위해 베이스 플레이트(107)를 가진 연결로 지지수단(109)를 이동시키도록 구성된다.
도 3B는 지지수단(109)와 베이스 플레이트(107)의 부분들 사이의 수평 및 수직 이격을 포함하는 갭(314)의 확대도이다. 일부 실시예에서, 하나 또는 그 이상의 패드(315)가 베이스 플레이트(107)로부터 지지수단(109)을 수직으로 이격하도록 구성될 수 있다. 상기 패드(315)는 개구부(108)의 경계선 주위에 균등하게 이격될 수 있고, 베이스 플레이트(107)의 하부 또는 지지수단(109)의 상부 표면에 장착될 수 있다. 패드(315)는 작업소재 W 를 처리하는 동안, 반응 챔버(101) 및 로딩 챔버(102)사이에 일부 유체 소통을 허용하도록 평면도에서 분리될 수 있다
도 4A는 반도체 처리 장치(100)의 실시예를 개략적으로 도시한다. 장치(100)는 지지수단(109)을 실질적으로 둘러싸는 환상의 수평 공간을 갭(314)이 포함하는 형상 및 크기로 지지수단(109)과 베이스플레이트(107)이 형성되는 것을 제외하고 상술한 장치(100)와 유사할 수 있다.
정압
하기 설명이 도 1의 장치에 대한 것인 반면, 상기 서술이 다른 적합한 반도체 작업소재 처리장치에 뿐만 아니라, 여기에 서술된 다른 장치에 적용될 수 있다는 것이 인정될 수 있다.
작업소재 W 는 항상 반응챔버(101)에서 처리되고, 미립자는 지지수단(109)이 베이스 플레이트(107)에 연결될 때 발생될 수 있다. 이것은 연결이 접촉(도 2) 또는 갭(314 또는 314) (도 3A-4B)을 유지하는 지와는 관계없는 위험이다. 전형적인 반응로 폐쇄 작업 동안, 반응 챔버(101)에서보다는 로딩 챔버 (102)에서 높은 압력이 존재할 수 있다. 따라서, 가스는 지지수단(109)이 베이스 플레이트(107)를 향하여 움직일 때, 개구부(108)을 통해 로딩 챔버(102)로부터 반응챔버(101)로 흐를 수 있다. 지지수단(109)이 베이스 플레이트(107)를 가진 연결을 향해 움직일 때 지지수단(109)과 베이스 플레이트(107)사이의 갭을 좁아지고 지지수단(109)은 개구부(108)를 통한 가스흐름을 더 많이 제한한다. 더 많이 제한된 개구부(108)를 통한 가스 흐름은 반응챔버(101)와 로딩 챔버(102)사이의 압력차를 더욱 심하게 함에 따라 지지수단(109)과 베이스 플레이트사이의 좁아진 갭을 통하여 가스가 더 높은 속도로 흐르게 된다.
지지수단(109)과 베이스 플레이트(107) 갭이 더 좁아짐에 따라 증가된 가스 속도로 인해 미립자가 근처의 표면에서 쓸려 제거될 수 있고 반응챔버(101)로 옮겨질 수 있다. 상기 미립자들은 반응챔버(101)부분의 물질에서 온 미립자, 또는 반응챔버(101)내에서 처리되는 동안 침적된 물질과 같은 많은 다른 물질을 포함할 수 있다. 따라서 상기 미립자들은 유전체, 반도체, 또는 금속물질일 수 있다. 미립자 혼합물은 베이스 플레이트(107)의 물질, 지지수단(109) 및 반응 챔버 내에서 수행된 공정에 따라 달라질 수 있다. 한 실시예에서, 상기 미립자는 예를 들어, Ti, Al2O3 또는 HfO2를 포함할 수 있다. 상기 미립자들은 지지수단(109)이 예를 들어 베이스 플레이트(107)를 연결하거나 연결되는 곳을 향해 움직임에 따른 가스의 이동으로 인해 작업소재 W 의 표면으로 의도하지 않게 이송될 수 있다. 이러한 미립자는 작업소재를 오염시켜 낮은 품질 및 산출량을 초래할 수 있다.
작업소재 오염은 반응로 폐쇄시, 반응 챔버(101)와 로딩 챔버(102) 사이의 정압 구배(gradient)를 확립함으로써 감소될 수 있으며, 반응 챔버(101)의 압력은 로딩 챔버(102)에서 보다 높다. 일부 실시예에서, 제어 시스템(113)은 작업소재 지지수단(109)이 개방 또는 패쇄 동작을 포함할 수 있는 동작시, 로딩 챔버(102)보다 높게 반응 챔버(101)의 압력을 제어하도록 구성된다. 제어 시스템(113)은 지지수단(109)이 동작하고 특히 베이스 플레이트(107)와 연결되거나 연결하는 곳을 향하여 움직이는 동안 반응챔버(101)에서 로딩 챔버(102)로 의도하는 흐름방향을 보장하도록 인입구(103, 105) 및 배출구(104, 106)를 통하여 가스가 흐르는 것을 제어하도록 구성될 수 있다. 지지수단(019)과 베이스 플레이트(107)사이의 어떤 접촉은 입자 발생 문제를 심화시킨다.
작동 방법
도 5A-5D는 도 1의 장치(100)에서 작업소재 W를 처리하는 예시를 도시한다. 그러나, 다른 적절한 반도체 작업소재 처리 장치와 마찬가지로 본 방법은 다른 장치에 적용할 수 있다는 것이 인정될 것이다.
초기 상태
도 5에서, 지지수단(109)은 로딩 위치에 있고, 게이트 밸브(112)는 닫힌다. 도시된 실시예에서, 복수의 리프트 핀(111)은 작업소재 지지수단(109)의 일부 위로 연장된다. 일부 실시예에서, 하나 또는 그 이상의 인입구(103, 105) 및/또는 배출구(104, 106)는 퍼징 반응 챔버(101) 및/또는 로딩 챔버(102)에서와 같이 지지수단(109)에 작업소재 W 를 로딩하기 전에 반응 챔버(101) 정화 및/또는 로딩 챔버(102)를 통해 가스가 흐를 수 있도록 열릴 수 있다.
일부 실시예에서, 제어 시스템(113)은 반응 챔버 인입구(103)를 통해 반응 챔버(101)로 퍼지 가스가 흐르게 할 수 있다. 일부 실시예에서, 반응 챔버 인입구(103)을 통한 가스 흐름율은 약 0,5 slm- 2.0 slm 사이에 있을 수 있다. 일부 실시예에서, 반응 챔버 인입구(103)를 통한 가스 흐름율은 약 0.8 slm -1.2 slm 사이에 있을 수 있다. 상기 실시예에서, 반응 챔버 인입구 (103)를 통한 가스 흐름율은 반응 챔버(101)의 압력에 관계없이 일정할 수 있다. 일부 실시예에서, 반응 챔버 배출구(104)는 흡입 메커니즘 또는 진공 펌프에 연결될 수 있다. 반응 챔버 (101)로의 다양한 흐름율이 처리 조건에 따른 반응 챔버 및 로딩 챔버 흐름율 컨덕턴스 및 펌핑속도에 따라 사용될 수 있다는 것이 당업자에게는 이해될 것이다.
일부 실시예에서, 제어 시스템(113)은 로딩 챔버 인입구(105)를 통해 로딩 챔버(102)로 퍼지 가스가 흐르게 할 수 있다. 제어시스템(113)은 로딩 챔버(102)에서, 약 0.5 Torr-1.5 Torr 사이 특히 약 0.8-1.2 Torr의 의도하는 압력을 유지하기 위하여 로딩 챔버 인입구(105)를 통해 퍼지 가스 흐름율을 조정할 수 있다. 이와같음에도 불구하고, 일부 실시예에서, 로딩 챔버 인입구(105)를 통한 퍼지 가스의 흐름은 로딩 챔버(102)에 위치하고 상기 범위 내의 셋 포인트 또는 최대 약 1 slm로 설정된 흐름율 제한자를 가지는 압력 센서로부터의 피드백에 의해 제어 수 있다. 일부 실시예에서 로딩 챔버 배출구(106)는 흡입 장치로부터 격리 될 수 있다.
물론 다른 실시예에서, 반응 챔버(101)와 로딩 챔버(102)의 상대적인 압력이 인입구의 상류에서 바로 퍼지 가스 흐름율을 제어하는 대신 또는 이에 더하여 반응 챔버(101)와 로딩 챔버(102)의 배기 단부에서 압력 제어기(예를 들어 드로틀 밸브)에 의해 제어될 수 있다는 것은 물론 이해될 수 있다.
도시된 실시예에서, 반응 챔버(101)는 지지수단이 로딩 위치에 있을 때 로딩챔버(102)로 실질적으로 열린다. 개구부(108)는 지지수단(109)이 로딩 위치에 있을 때 반응 챔버(101) 및 로딩 챔버(102)사이의 유체소통을 허용하기 때문에, 두 챔버사이의 압력은 균등해지게 된다. 로딩 챔버 인입구(105)를 통한 가스 흐름이 압력 센서로부터의 피드백에 의해 제어되는 실시예에서, 반응챔버(101)의 압력은 피드백 제어 셋포인트를 향하게 된다. 따라서, 일부 실시예에서, 반응챔버(101)의 압력은 로딩 챔버(102)를 위해 위에 공급된 범위보다 약간 작거나 같을 수 있다. 특히, 반응챔버(101)의 압력은 약 0.5 Torr-1.5 Torr 사이일 수 있다. 일부 실시예에서, 반응 챔버(101)의 압력은 약 0.8 Torr-1.2 Torr 사이일 수 있다.
열린 게이트 밸브
도 5B에서, 게이트 밸브(112)는 작업소재 W가 지지수단(109)에 적재되도록 열린다. 일부 실시예에서, 작업소재 W는 반도체 작업소재일 수 있다. 상술한 바와 같이 패들 또는 포크가 로봇의 엔드 이펙터(도시되지 않음)로 사용되는 경우 지지수단(109)은 작업소재 W가 위치될 수 있는 리프트 핀(111)을 포함할 수 있다. 리프트 핀(111)은 지지수단을 향하여 이격되어 움직이도록 구성될 수 있다. 상기와 같이, 리프트 핀(111)과 작업소재 W는 지지수단(109)을 향해 움직이거나, 작업소재 W rk 지지수단(109)에 위치하도록 하강될 수 있다. 일부 실시예에서, 리프트 핀(111)은, 지지수단(109)이 처리위치를 향해 이동 또는 상승될 때 지지수단(109)으로 작업소재 W를 하강시키기 위해 구성된다. 일부 실시예에서, 작업소재를 지지수단(109)로 이끌기 위해 진공이 적용될 수 있는 반면, 다른 실시예에서는, 중력만으로 작업소재를 지지수단(109)의 포켓에 유지한다.
일부 실시예에서, 게이트 밸브(112) 외부(예를 들어, 전송 챔버에서)의 압력은 약 2 Torr-4 Torr 사이에 있을 수 있다. 일부 실시예에서, 게이트 밸브(112) 외부의 압력은 약 2.5 Torr-3.5 Torr 사이에 있을 수 있다. 반응 챔버(101)와 로딩 챔버(102)의 압력은 게이트 밸브(112)가 열려있는 동안 외부의 압력과 같아지게 된다.
닫힌 게이트 밸브
지지수단(109)의 작업소재 W를 적재한 후, 게이트 밸브(112)가 닫힐 수 있다. 반응 챔버(101)와 로딩챔버(102) 압력은 게이트 밸브(112)가 열리기 전에 설정된 범위로 북귀할 수 있다. 도시된 실시예에서, 반응 챔버(101)가 로딩 챔버(102)로 열리기 때문에, 두 챔버의 압력은 로딩 챔버(102)에 대한 압력 제어 셋포인트로 복귀한다.
반응로 폐쇄
게이트 밸브(112)가 닫힌 후, 지지수단(109)은 처리 위치로 상승될 수 있다. 일부 실시예에서, 지지수단(109)이 처리위치로 이동하는데 약간의 시간(예를 들어, 약 25 초)이 걸릴 수 있다. 작업소재의 오염은 상술한 입자 발생 및 이동을 인해 반응로 폐쇄 절차동안 발생할 수 있다. 일부 실시예에서, 작업소재의 오염은 반응로를 폐쇄하는 동안 반응기 챔버(101) 로딩 챔버(102) 사이의 정압 구배(gradient)를 확립하여 감소될 수 있다. 일부 실시예에서는 순 가스 흐름이 반응로를 폐쇄하는 동안 반응챔버(101)로부터 로딩 챔버(102)로 형성될 수 있고 다라서 작업소재 W 에 형성되어 오염될 수 있는 방해되는 미립자가 반응챔버(101)로 들어가는 것이 차단된다.
일부 실시예에서, 제어 시스템(113)은 반응로를 폐쇄하는 동안 로딩 챔버(102)보다 높게 반응 챔버(101)의 압력을 제어하도록 구성될 수 있다. 일부 실시예에서, 압력은 지지수단(109)이 동작중일 때 처리위치로 상승될 수 있는 동안 로딩챔버(102)에서보다 반응챔버(101)에서 더 높게 약 0.1 Torr- 3 Torr 사이일 수 있다. 일부 실시예에서, 압력은 지지수단(109)이 처리위치로 상승되는 동안 로딩 챔버(102)에서보다 반응챔버(101)에서 더 높게 약 0.3 Torr - 2 Torr 사이일 수 있다.
반응 챔버(101)와 로딩 챔버(102)사이의 압력 차이는 처리 모듈이 높은 압력에서 작동하는 실시예에서보다 클 수 있으며, 낮은 압력에서 처리 모듈이 동작하는 곳에서 더 낮아 질 수 있다.
일부 실시예에서, 압력은 로딩 챔버(102)에서 보다 반응챔버(101)에서 약 1.1 배- 3배 (Torr) 높을 수 있다. 일부 실시예에서, 압력은 로딩 챔버(102)에서 보다 반응챔버(101)에서 약 1.3 배-2배 (Torr) 높을 수 있다.
반응 챔버(101) 및 로딩 챔버(102) 사이의 압력 차이는 지지수단(109)이 베이스 플레이트(107)에서 개구부(108)로 접근함에 따라 증가된다. 한 실시예에서, 반응 챔버(101)의 압력은 지지수단(109)이 로딩 위치에 있을 때, 반응로 폐쇄의 시작 부분에서 약 1 Torr-1.6 Torr 사이, 특히 약 1.2 Torr-1.4 Torr 사이일 수 있다. 같은 실시예에서, 반응 챔버(101)의 압력은 지지수단(109) 베이스 플레이트(107)와 연결될 때 처리위치에서, 반응로 폐쇄의 끝 부분에서, 2 Torr-4 Torr 사이, 특히 약 2.5 Torr-3.5 Torr 사이일 수 있다.
반응 챔버(101)가 압력이 증가되는 동안, 로딩 챔버(102)의 압력은 반응로를 폐쇄하는 동안, 예를 들어 약 0.5 Torr-1.5 Torr 사이, 특히 약 0.8 Torr-1.2 Torr 사이에서 지속적으로 유지하거나 감소될 수 있다.
일부 실시예에서, 제어 시스템은 지지수단(109)이 처리위치로 이동 또는 상승하는 동안, 로딩 챔버 배출구(106)를 통해 로딩 챔버(102)밖으로, 그리고 반응 챔버 인입구(103)을 통해 반응 챔버(101)로 퍼지 가스를 흐르게 할 수 있다. 흐름의 방향을 보장하는 한 가지 방법은 지지수단(109)이 움직이는 동안, 로딩 챔버(102)로부터 가스를 펌핑하는 것이다. 가스는 반응 챔버 배출구(104)를 통해 펌핑이 감소하거나 해제되는 동안, 흡입 메커니즘 또는 진공자원에 작동 연결되도록 로딩 챔버 배출구(106)를 구성함으로써, 로딩 챔버(102)로부터 펌핑된다.
선택적으로, 또는 이에 더하여, 퍼지 가스는 로딩 챔버에 대한 부피 비율로 로딩 챔버 인입구(105)를 통하여 퍼지 가스가 흐르는 비율보다 반응챔버 부피에 대한 비율로 더 큰 비율로 반응챔버 인입구(103)을 통해 공급된 퍼지 가스가 흐른다.
일부 실시예에서, 퍼지 가스는 반응로를 폐쇄하는 동안, 약 0.5 slm-1.5 slm 사이, 특히 약 0.8 slm-1.2 slm 사이에서, 반응 챔버 인입구(103)를 통해 흐를 수 있다. 제어 시스템(113)은 반응로를 폐쇄하는 동안, 로딩 챔버 인입구(105)을 통해 로딩 챔버(102)로 퍼지 가스가 흐르게 할 수 있으나, 가스가 로딩 챔버 인입구(105)를 통해 로딩 챔버(102)로 흐르지 않는 것이 바람직하다.
일부 실시예에서, 반응 챔버 인입구(103)를 통한 퍼지 가스 흐름율은 로딩 챔버 인입구를 통한 퍼지 가스 절대 흐름율 보다 약 2 배-4배 사이, 특히 약 2.5-3.5 배 사이일 수 있다.
이것은 로딩 챔버(102)의 부피가 반응 챔버(101) 부피의 약 40 배의 부피를 가지기 때문에, 약 80배-160배 사이, 특히, 약 100배-140배 사이로 로딩 챔버(102)에서보다 반응챔버(101)에서 더 높은 챔버 부피에 대한 퍼지 가스 흐름율에 해당한다.
반응로 폐쇄가 인인구(103, 105) 및 배출구(104, 106)을 통한 가스흐름의 다른 조합에 의해 형성될 수 있는 동안, 로딩챔버(102)에서보다 반응챔버(101)에서 더 높은 압력으로 정압 구배(gradient)가 형성된다는 것은 당업자에게 명백하다.
처리
도 5C에서, 게이트 밸브(112)는 폐쇄되고 지지수단(109)은의 처리 위치로 이동된다. 지지수단(109)이 처리위치로 이동한 후에는 베이스 플레이트(107)와 연결된 지지수단(109)과 함께, 작업소재 W가 반응 챔버(101)에 처리될 수 있다. 일부 실시예에서, 반응 챔버(101)에서 작업소재 W를 처리하는 것은 CVD를 포함할 수 있다. 일부 실시예에서, 반응챔버(101)에서 작업소재 W를 처리하는 것은 ALD를 포함할 수 있다. 반응가스는 작업소재 W, 라미나, 수직 또는 그로스-플로우 배치로 반응챔버 배출구(104)를 통한 반응 챔버(101) 외부로 흐름과 상호작용하는 반응챔버 인입구(103)를 통해 반응 챔버(101)로 흐를 수 있다. 일부 실시예에서, 질소와 같은 불활성 퍼지 가스는 로딩 챔버 인입구(105)를 통해 로딩 챔버(102)로 흐를 수 있으며, 로딩 챔버 배출구(106)를 통해 로딩 챔버(102) 외부로 흐를 수 있다. ALD를 위해, 반응 가스는 일반적으로 사이클당 한 단층 이하를 생산하는, 자가포화표면 반응에 대한 정화 기간으로 분리되는 펄스에서 교대된다. 어떤 경우, 작업소재를 처리하는 동안 반응 챔버(101)에서 로딩 챔버(102)로 반응가스가 누출되는 것을 방지하는 것이 바람직할 수 있다.
따라서 작업소재를 처리하는 동안 반응챔버(101)와 로딩 챔버(102)사이에서 부압 구배(gradient)가 형성될 수 있다. 여기서 로딩 챔버(102)의 압력은 반응챔버(101)에서보다 크다. 일부 실시예에서, 지원수단(109)과 베이스 플레이트(107)사이에 실이 없는 경우, 순 가스 흐름은 작업소재를 처리하는 동안 로딩 챔버(102)에서 반응 챔버(101)로 형성될 수 있다. 따라서, 작업소재를 처리하는 동안, 로딩 챔버(102)에서 반응 챔버(101)로의 불활성 가스의 흐름은 확산 장벽을 형성하여, 반응물과 다른 처리 부산물이 로딩 챔버(102)로 흐르는 것을 차단한다.
제어 시스템은 지지수단(109)이 처리 위치에 있는 동안, 로딩 챔버(102)보다 낮게 반응 챔버(101)의 압력을 제어하도록 구성될 수 있다.
일부 실시예에서, 반응 챔버(101)의 압력은 작업소재를 처리하는 동안, 로딩 챔버(102)보다 낮게 약 0.1 Torr-2.5 Torr사이, 특히 약 0.3 Torr-1 Torr 사이에 있을 수 있다.
일부 실시예에서, 로딩 챔버(102)의 압력은 작업소재를 처리하는 동안 반응챔버(101)보다 약 1.1-2 배(Torr) 높을 수 있다. 예를 들어, 반응 챔버(101)의 압력은 작업소재를 처리하는 동안 약 2.5 Torr-4.5 Torr 사이에 있는 반면, 로딩 챔버(102)의 압력은 작업소재를 처리하는 동안, 약 3-5 Torr 사이일 수 있다. 일부 실시예에서, 반응 챔버(101)의 압력은 작업소재를 처리하는 동안 약 3.5 Torr 일 수 있다.
일부 실시예에서, 제어 시스템(113)은 작업소재를 처리하는 동안, 반응 챔버 배출구(104)를 통해 반응 챔버(101) 밖으로, 그리고 반응 챔버 인입구(103)을 통해 반응 챔버(101)로 반응 및/또는 퍼지 가스를 흐르게 할 수 있다. 예를 들어, 반응 챔버 인입구(103)를 통한 총 가스 흐름은 작업소재를 처리하는 동안, 약 1 slm-1.6 slm 사이, 특히, 약 1.2 slm-1.4 slm 사이일 수 있다. 일반적으로 반응 챔버 배출구(104)는 반응 챔버(101)에서 가스를 펌핑하도록 흡입 메커니즘에 연결될 수 있는 반면, 작업소재 지지수단(109)은 작업소재를 처리하는 동안 처리 위치에 있다.
일부 실시예에서, 제어 시스템(113)은 역시 작업소재를 처리하는 동안, 로딩 챔버 배출구(106)를 통해 로딩 챔버(102) 밖으로, 그리고 로딩 챔버 인입구(105)를 통해 로딩 챔버(102)로 캐리어 가스와 같은 퍼지 가스를 흐르게 할 수 있다. 예를 들어, 퍼지 가스는 작업소재를 처리하는 동안, 50 sccm-250 sccm 사이, 특히, 100 sccm-200 sccm 사이에서 로딩 챔버 인입구 (105)를 통해 흐를 수 있다. 낮은 퍼지 흐름일 때는, 의도하는 내부 압력 차이를 유지하기 위해 작업소재를 처리하는 동안, 로딩 챔버 출구(106)를 통한 강한 펌핑이 필요없다.
반응로 열기
반응 챔버(101)에서 작업소재 W을 처리한 후, 지지수단(109)이 도 5D에 도시된 바와 같이, 로딩 위치로 하강할 수 다. 일부 실시예에서, 지지수단(109)이 하강하기 전에, 안정화 기간 (예를 들어, 1분 이하 또는 약 20-30초)이 있을 수 있다. 지지수단(109)을 로딩 위치로 이동하는데 약 20 초가 걸릴 수 있다. 지지수단(109)이 로딩 위치로 하강하는 동안, 작업소재 오염 역시 반응로를 여는 절차 중에 발생할 수 있다. 일부 실시예에서, 작업소재의 오염은 반응로를 여는 동안 반응 챔버(101) 및 로딩 챔버(102) 사이의 정압 구배(gradient)를 형성함으로써 감소될 수 있다. 일부 실시예에서, 순 가스 흐름이 반응로를 여는 동안 로딩 챔버(102)에서 반응 챔버(101)로 형성될 수 있다. 처리되는 동안 역 구배(gradient)가 형성되어, 퍼지 가스의 흐름 및/또는 펌핑 레벨이 의도하는 외부(반응 챔버에서 로딩 챔버로) 압력 차이로 복귀하도록 변경될 수 있다.
따라서, 제어 시스템은 반응로를 여는 동안, 로딩 챔버(102)보다 높게 반응 챔버(101)의 압력을 제어하도록 구성될 수 있다. 일부 실시예에서, 압력은 지지수단(109)이 로딩 위치로 하강하는 동안 로딩 챔버(102)보다 반응챔버(101)에서 약 0.1 Torr-3 Torr 사이, 특히 0.3 Torr-2 Torr 사이로 정도 높을 수 있다. 일부 실시예에서, 압력은 로딩 챔버(102)에서 보다 반응 챔버(101)에서, 약 1.1 배- 3 배 사이, 특히, 약 1.3 배- 1.2 배 사이로 높을 수 있다.
반응 챔버(101) 및 로딩 챔버(102) 사이의 압력 차이는, 지지수단(109)이 베이스 플레이트(107)의 개구부(108)에서 이격되어 움직임에 따라 감소된다. 일부 실시예에서, 반응 챔버(101)의 압력은 지지수단(109) 처리 위치에 있을 때, 반응로 개방의 시작부분에서 약 2 Torr-4 Torr 사이, 특히, 약 2.5 Torr-3.5 Torr 사이일 수 있다. 로딩 챔버(102)의 압력은 반응로가 열릴 때, 약 0.5 Torr-1.5 Torr 사이 특히, 약 0.8 Torr-1.2 Torr 사이일 수 있다.
지지수단(109)이 로딩 위치에 있을 때 반응로 개방이 끝날 무렵, 반응 챔버(101)은 약 1 Torr-1.6 Torr 사이 특히, 약 1.2 Torr-1.4 Torr 사이일 수 있다.
일부 실시예에서, 지지수단(109)으로 로딩위치로 이동 또는 하강하는 동안, 제어 시스템은 로딩 챔버 배출구(106)를 통해 로딩 챔버(102)외부로 그리고, 반응 챔버 인입구(103)을 통해 반응 챔버(101)로 퍼지 가스를 흐르게 할 수 있다. 일부 실시예에서, 퍼지 가스는 반응기를 여는 동안, 약 0.5 slm-1.5 slm 사이, 특히, 약 0.8 slm-1.2 slm 사이에서 반응 챔버 인입구(103)를 통해 흐를 수 있다. 일부 실시예에서, 로딩 챔버 배출구(106)를 통한 로딩 챔버(102)에서의 가스 진공 펌핑이 반응기를 여는 동안, 증가된다. 반응 챔버 출구(104)을 통한 진공 펌핑은 감소되거나 격리될 수 있다.
선택적인 실시예에서, 제어 시스템(113)은 또한 반응기를 여는 동안 로딩 챔버 인입구(105)를 통해 로딩 챔버(102)으로 퍼지 가스를 흐르게 할 수 있다. 그러나, 반응 챔버 인입구(103)를 통한 퍼지 가스 흐름율은 로딩 챔버 인입구(105)를 통한 퍼지 가스 흐름율의 약 2-4 배, 특히 약 2.5 배-약 3.5 배 사이 일 수 있다. 반응로를 여는 동안 정압 구배(gradient)가 인입구(103, 105) 및 배출구(104, 106)를 통해 가스 흐름의 다른 조합에 의해 이루어질 수 있다는 것은 당업자에게 명백할 것이다.
하역
도 5D에서, 처리후에 지지수단(109)이 로딩 위치로 하강한다. 일부 실시예에서, 지지수단(109)으로부터 소재 W를 하역하기 전에, 반응 챔버(101) 및/또는 로딩챔버(102)를 통해 가스가 흐를 수 있도록 하나 또는 그 이상의 인입구(103, 105) 및/또는 배출구(104, 106)가 열려질 수 있다. 일부 실시예에서, 제어 시스템(113)은 반응 챔버 인입구(103)를 통해 반응 챔버(101)로 퍼지 가스가 지속적으로 흐르도록 지시 할수 있다. 일부 실시예에서, 반응 챔버 인입구(103)를 통한 가스 흐름율은 약 0.5 slm-1.5 slm 사이, 특히 약 0.8 slm-1.2 slm 사이일 수 있다. 일부 실시예에서, 반응 챔버 배출구(104)는 흡입 장치에 연결될 수 있다.
동시에, 제어 시스템(113)은 로딩 챔버 인입구(105)를 통해 로딩 챔버(102)로 퍼지 가스가 흐르게 할 수 있다. 일부 실시예에서, 제어 시스템(113)은 로딩 챔버(102)에서 약 0.5 Torr-1.5 Torr 사이, 특히 약 0.8 Torr-1.2 Torr 사이의 의도하는 압력을 유지하기 위해 로딩 챔버 인입구(105)를 통해 퍼지 가스 흐름율을 조정하는 압력 센서로부터 피드백을 채용할 수 있다. 개구부(108)는 지지수단(109)이 로딩 위치에 있을 때 반응 챔버(101) 및 로딩 챔버(102)사이의 유체소통을 허용한다. 따라서, 일부 실시예에서, 반응 챔버(101)의 압력은 로딩 챔버(102)와 거의 동일할 수 있다. 압력 피드백 제어에도 불구하고, 일부 실시예에서, 로딩 챔버 인입구 (105)를 통해 퍼지 가스의 흐름은 흐름율 제한자로 제한될 수 있다.(예를 들어, 최대 약 1 slm) 일부 실시예에서 로딩 챔버 배출구(106)는 진공 펌핑으로부터 격리될 수 있다.
작업소재 W는 게이트 밸브(112)를 통해 하역될 수 있다. 일부 실시예에서, 작업소재 W가 더 이상 지지수단(109)으로 당겨지지 않도록 진공이 해제될 수 있다. 리프트 핀(111)은 로봇 엔드 이펙터에 의해 접근될 수 있는 작업소재 W 를 지지수단(109)으로부터 들어올리도록 상승시킬 수 있다. 상술한 바와 같이 리프트 핀(111)은 지지수단(109)이 로딩 위치를 향하여 이동 또는 하강할 때 지지수단(109)으로부터 작업소재 W를 상승 또는 이동하도록 구성될 수 있다. 일부 실시예에서, 공정은 새로운 작업소재에 위해 도 5A에서 다시 시작될 수 있다. 별도의 적재 및 하역 절차를 수행하는 대신 게이트 밸브(112)가 열려있는 동안 두 개의 작업소재가 간단하게 교환될 수 있다. 압력/흐름율 범위는 펄서 3000 시스템에 대한 단지 예시로써 당업자는 실제 압력 및 흐름율이 반응로 설계에 따라 달라질 수 있다는 것을 이해할 것이다.
흐름도
도 6은 한 실시예에 따라 작업소재를 처리하는 방법을 요약한 흐름도이다. 흐름도에 요약된 작업은 소모적이지도 배타적인 것도 아니며 부가적인 작업이 이들 사이에 개입될 수 있다는 것을 이해할 것이다. 또한, 모든 공개된 작업이 발생하는 것은 아니다. 하기에는 서술은 도 1의 장치를 언급한 것이며, 도 6의 공개는 다른 적절한 반도체 처리 장치에서와 마찬가지로 여기에 공개된 다른 장치에 적용될 수 있다는 것이 인정될 것이다.
도 1 및 6을 참조하는 한 실시예에 따라, 작업소재 W는 지지수단(109)에 적재될 수 있다(601). 제어 시스템은 그후 로딩 챔버(102)에서 보다 반응 챔버(101)에서 더 큰 압력을 설정할 수 있다(602). 지지수단(109)이 처리 위치로 상승하는 동안, 상기 양압 구배가 유지될 수 있다(603). 제어 시스템은 그후 반응 챔버(101)에서 보다 로딩 챔버(102)에서 더 큰 압력이 설정될 수 있다(604). 작업소재 W가 반응 챔버(101)에서 처리되는 동안 부압 구배가 유지될 수 있다(605). 제어 시스템은 그후 로딩 챔버(102)에서 보다 반응 챔버(101)에서 더 큰 압력을 재설정할 수 있다(606). 상기와 같은 정압구배는 지지수단(109)이 로딩 위치로 하강하는 동안, 유지될 수 있다(607). 마지막으로, 작업소재 W는 지지수단(109)에서 제거될 수 있다(608).
도 7은 한 실시예에 따라 작업소재를 처리하는 방법을 요약한 흐름도이다. 흐름도에 요약된 작업은 소모적이지도 배타적인 것도 아니며 부가적인 작업이 이들 사이에 개입될 수 있다는 것을 이해할 것이다. 또한, 모든 공개된 작업이 발생하는 것은 아니다. 하기에는 서술은 도 1의 장치를 언급한 것이며, 도 7의 공개는 다른 적절한 반도체 처리 장치에서와 마찬가지로 여기에 공개된 다른 장치에 적용될 수 있다는 것이 인정될 것이다.
도 1 및 도 7을 참조하는 한 실시예에 따라, 작업소재 W는 지지수단(109)에 적재될 수 있다(701). 제어 시스템은 그후 반응 챔버(101)에서 로딩 챔버(102)로 퍼지 가스를 흐르게 할 수 있다(702). 일부 실시예에서, 제어시스템은 반응챔버 인입구(103)을 통하여 반응챔버(101)로, 그리고 로딩 챔버 배출구(106)를 통하여 로딩 챔버(102)밖으로 퍼지가스를 흐르게 할 수 있다.
상기 가스는 로딩 챔버(102)로부터 오는 펌핑 가스를 포함할 수 있다. 상기 가스 흐름은 지지수단(109)이 처리 위치로 상승하는 동안 유지될 수 있다(703). 따라서, 반응로를 폐쇄하는 동안 발생되거나 휘저어진 미립자가 로딩 챔버(102)로 향할 수 있음에 따라, 작업소재 오염이 감소될 수 있다. 한번 지지수단(109)이 처리 위치로 상승되면, 반응 챔버(101)과 로딩 챔버(102)사이의 누출 또는 의도적인 유체 소통일 있을 수 있다. 제어 시스템은 그후 로딩 챔버(102)에서 반응 챔버(101)로 퍼지가스를 흐르게 할 수 있다(704). 일부 실시예에서, 제어시스템은 로딩챔버 인입구(105)을 통하여 로딩챔버(102)로, 그리고 반응 챔버 배출구(104)를 통하여 반응 챔버(101)밖으로 퍼지가스를 흐르게 할 수 있다. 상기 가스 흐름은 반응챔버(101)로부터 오는 펌핑 가스를 포함할 수 있다. 작업소재 W가 반응 챔버(101)에서 처리되는 동안 상기 가스 흐름이 유지될 수 있다(705). 처리후, 제어 시스템은 다시 반응 챔버(101)에서 로딩 챔버(102)로 퍼지 가스가 흐르게 할 수 있다(706). 일부 실시예에서, 제어시스템은 반응챔버 인입구(103)을 통하여 반응챔버(101)로, 그리고 로딩 챔버 배출구(106)를 통하여 로딩 챔버(102)밖으로 퍼지가스를 흐르게 할 수 있다. 상기 가스 흐름은 지지수단(109)이 로딩 위치로 하강하는 동안, 유지될 수 있다(707). 마지막으로, 작업소재 W는 지지수단(109)에서 제거될 수 있다(708).
작업소재 지지수단(109)이 닫힌 위치로 이동 또는 상승하고 가스가 로딩 챔버 배출구(106)을 통하여 반응 챔버 인입구(103)로부터 흐르면, 더 작은 양의 가스가 반응 챔버 배출구(104)로부터 여전히 흐를 수 있다는 것은 당업자에게 이해될 것이다. 상기 예시에서, 로딩 챔버 배출구(106)를 통한 반응 챔버 인입구(103)로부터의 가스 흐름이 주된 흐름이고 반응 챔버 배출구(104)로부터의 가스 흐름이 소수 흐름이다. 작업소재 지지수단이 처리 위치에 있고 가스가 로딩 챔버 인입구(105)로부터 반응 챔버 배출구(104)로 흐르면, 적은 양의 가스가 로딩 챔버 배출구(106)로부터 여전히 흐를 수 있다는 것이 당업자에게 이해될 것이다. 상기 예시에서, 반응 챔버 배출구(104)를 통한 로딩 챔버 인입구(105)로부터의 가스 흐름이 주된 흐름이고 로딩 챔버 인입구(103)로부터의 가스 흐름이 소수 흐름이다.
도 8은 한 상세한 실시예에 따라 작업소재를 처리하는 방법 중에 공정전체를 통한 퍼징 및 펌핑 상태를 도시하는 흐름도이다. 흐름도에 요약된 작업은 소모적이지도 배타적인 것도 아니며 부가적인 작업이 이들 사이에 개입될 수 있다는 것을 이해할 것이다. 또한, 모든 공개된 작업이 발생하는 것은 아니다. 하기에는 서술은 도 1의 장치를 언급한 것이며, 도 8의 공개는 다른 적절한 반도체 처리 장치에서와 마찬가지로 여기에 공개된 다른 장치에 적용될 수 있다는 것이 인정될 것이다.
도 8을 참조하는 한 실시예에 따라, 제어 시스템은 반응 챔버로 퍼지 가스를 흐르게 할 수 있다.; 로딩 챔버로 퍼지가스가 흐른다; 그리고 진공 펌핑으로부터 로딩 챔버가 격리된다(작동블록 801).
게이트 밸브가 그후 열릴 수 있고(802), 작업소재가 지지수단에 적재될 수 있거나(803) 또는 지지수단의 다른 작업소재와 교환된다.
게이트 밸브가 그후 닫힐 수 있다(804). 상태 블록 810으로 나타난바와 같이, 작동 블록 801-804의 수행 동안의 퍼징 및 펌핑 상태는 다음과 같을 수 있다: 퍼지 가스는 인입구를 통해 반응챔버로 흐른다; 진공 펌핑에 연결된 가스는 배출구를 통해 반응챔버(101) 외부로 흐른다.; 퍼지 가스는 인입구를 통해 로딩 챔버로 흐른다; 진공펌핑과 격리된 가스는 로딩 챔버 배출구 외부로 흐르지 않는다.; 그리고 반응챔버보다 로딩 챔버에서 높은 압력이 존재한다.
게이트 밸브를 닫은 후(804), 제어 시스템은, 가스가 배출구를 통해 로딩 챔버 외부로 흐르도록 로딩 챔버로 퍼지 가스가 흐르는 것을 멈출 수 있으며, 비교적 강한 진공 펌핑에 연결될 수 있다. (작동 블록 811). 작업소재 지지수단은 그후 처리 위치로 상승될 수 있다.(812)
상태 블록 820에 나타난 바와 같이, 작동 블록 811-812를 수행하는 동안 퍼징 및 펌핑의 상태는 다음과 같다: 퍼지 가스는 인입구를 통해 반응챔버로 흐른다; 진공 펌핑에 연결된 가스는 배출구를 통해 반응챔버(101) 외부로 흐른다.; 퍼지 가스는 로딩 챔버 인입구(105)를 통해 로딩 챔버(102)로 흐르지 않는다; 강한 진공 펌핑과 연결된 가스는 배출구(106)을 통해 로딩 챔버 외부로 흐른다.; 그리고 로딩챔버 보다 반응 챔버에서 높은 압력이 존재한다.
지지수단이 처리위치로 이동 또는 상승한 후(812), 제어 시스템은 로딩 챔버로 퍼지 가스를 흐르게 할 수 있고, 진공 펌핑으로부터 로딩 챔버 배출구(106)을 감소 또는 격리 함으로써 배출구를 통해 로딩 챔버 외부로 가스 흐름율을 수정할 수 있다(작동 블록 821). 작업소재는 그후 반응 챔버에서 처리될 수 있다(822).
상태 블록 830에 나타난 바와 같이, 작동 블록 821-822의 수행동안의 퍼징 및 펌핑 상태는 다음과 같을 수 있다: 퍼지 가스 및/또는 반응 는 인입구를 통해 반응챔버로 흐른다; 진공 펌핑에 연결된 가스는 배출구를 통해 반응챔버 외부로 흐른다.; 퍼지 가스는 인입구를 통해 로딩 챔버로 흐른다; 비교적 약한 석션 메커니즘과 연결된 가스는 배출구를 통해 로딩챔버 외부로 흐른다.; 그리고 반응챔버보다 로딩 챔버에서 높은 압력이 존재한다.
작업소재를 처리한 후(822), 제어 시스템은, 로딩 챔버로 퍼지 가스가 흐르는 것을 멈출 수 있으며, 진공 펌핑을 증가시킴으로써, 배출구를 통해 로딩챔버 외부로 가스 흐름율을 수정할 수 있다. (작동 블록 831). 작업소재는 그후 로딩 위치로 하강할 수 있고,(832), 상기 사이클이 반복될 수 있다.
상태 블록 840에 나타난 바와 같이, 작동 블록 831-832를 수행하는 동안 퍼징 및 펌핑의 상태는 다음과 같다: 퍼지 가스는 인입구를 통해 반응챔버로 흐른다; 진공 펌핑에 연결된 가스는 배출구를 통해 반응챔버 외부로 흐른다.; 퍼지 가스는 인입구(105)를 통해 로딩 챔버로 흐르지 않는다; 비교적 강한 진공 펌핑과 연결된 가스는 배출구을 통해 로딩 챔버 외부로 흐른다.; 그리고 로딩챔버 보다 반응 챔버에서 높은 압력이 존재한다.
상태 차트
도 9는 한 실시예에 따라, 작업소재 처리의 다양한 단계 후, 반도체 처리 장치의 상태를 도시하는 차트이다. 반도체 처리 장치는 도 1에 개략적으로 도시된 장치(100)과 유사할 수 있다. 하기하는 서술이 도 1의 장치를 언급하는 동안, 도 9의 공개는 비록 흐름율과 압력 매개변수가 다른 반응로 설계와 다르지만 다른 적절한 반도체 처리 장치와 마찬가지로 여기에 공개된 다른 장치에 적용될 수 있다.
제 1 열은 위에서 설명한 것과 유사한 한 실시예에 따라, 작업소재 처리의 다른 단계를 나열한다. 도 1 및 9를 참조하면 상기 단계들은 다음과 같다: 초기 시작 상태 세팅, 개이트 밸브(112) 개방, 게이트 밸브(1120 폐쇄, 반응챔버(101) 및 로딩 챔버(102)사이의 양압 구배 수립, 지지수단(109) 상승, 반응챔버(101) 및 로딩 챔버(102)사이의 부압 구배 수립, 반응 챔버(101)의 작업소재 W의 처리 시작, 로딩 챔버(102)사이의 양압 구배 재수립, 지지수단(109) 하강.
제 1 행은 상태가 차트에서 주어진 한 실시예에 따라, 장치(100)의 다른 양태를 나열한다. 도 1 및 9를 참조하면 상기 양태들은 다음과 같다: 반응챔버 인입구(103)를 통한 반응챔버(101)로의 대략적인 가스 흐름율; 반응챔버 배출구(104)가 석션 메커니즘에 연결되었느지 여부; 반응챔버(101)의 대략적인 압력; 로딩 챔버 인입구(105)를 통한 로딩 챔버로의 퍼지 가스의 대략적인 흐름율; 로딩 챔버 배출구(106)가 진공 펌핑에 연결되었는지 여부 및 진공 펌핑의 강도; 로딩 챔버(102)의 대략적인 압력; 순 가스 흐름이 향하는 챔버; 더 큰 압력을 가지는 챔버; 및 작업소재 지지수단(109)의 위치.
비록, 본 발명이 특정 실시예 및 예시적인 맥락에서 공개되어 있지만, 당업자는 본 발명이 여기에 공개된 실시예의 범위를 넘어 본 발명의 선택적인 실시예 및/또는 사용과 자명한 변형이 가능하다는 것을 이해할 것이다. 따라서, 여기에 공개된 본 발명의 범위는 상술한 특정 실시예로 제한되지 않으며 하기하는 청구범위의 정독에 의해서만 결정되어야 한다.

Claims (27)

  1. 반도체 처리장치에 있어서,
    로딩 챔버 위에 위치되고, 개구부를 포함하는 베이스 플레이트에 의해 분리되는 크로스-플로우 반응 챔버;
    반도체 작업소재를 고정하도록 구성되는 이동 작업소재 지지수단;
    로딩 위치와 처리 위치사이에서 작업소재 지지수단을 움직히도록 구성된 구동 메커니즘; 및
    작업소재 지지수단이 이동하는 동안 로딩 챔버에서 보다 더 폰은 반응챔버의 압력을 제어하도록 구성된 제어 시스템을 포함하여 구성되는 것을 특징으로 하는 반도체 처리장치.
  2. 제 1항에 있어서,
    반응 챔버 인입구;
    반응챔버 배출구;
    로딩 챔버 인입구; 및
    로딩 챔버 배출구를 더 포함하는 것을 특징으로 하는 반도체 처리장치.
  3. 제 2항에 있어서, 반응챔버와 로딩 챔버사이의 흐름방향 및/또는 관련 압력의 조정을 허용하도록 구성된 둘 또는 그 이상의 독립적으로 제어된 밸브를 더 포함하는 것을 특징으로 하는 반도체 처리장치.
  4. 제 2항에 있어서, 제어 시스템이, 작업 소재가 처리위치에 있을 때 로딩 챔버보다 더 낮은 반응 챔버의 압력을 제어하도록 구성되는 것을 특징으로 하는 반도체 처리장치.
  5. 제 2항에 있어서, 제어 시스템이,
    작업소재 지지수단이 움직이는 동안 반응 챔버 인입구를 통한 퍼지 가스 흐름을 유지하고,
    작업 소재 지지수단이 움직이는 동안 로딩 챔버 배출구를 통한 가스 흐름을 유지하도록 구성되는 것을 특징으로 하는 반도체 처리장치.
  6. 제 4항에 있어서, 제어 시스템이,
    작업소재 지지수단이 처리위치에 있는 동안 로딩 챔버 인입구를 통한 퍼지 가스 흐름을 유지하고,
    작업소재 지지수단이 처리위치에 있는 동안 반응챔버 배출구를 통한 가스흐름을 유지하는 것을 특징으로 하는 반도체 처리장치.
  7. 제 1항에 있어서, 작업 소재 지지수단이 처리위치에 있을때, 작업 소재 지지수단이 베이스 플레이트 개구부와 연결되는 것을 특징으로 하는 반도체 처리장치.
  8. 제 7항에 있어서, 연결이 베이스 플레이트와 작업 소재 지지수단 사이의 갭을 유지하는 것을 포함하는 것을 특징으로 하는 반도체 처리장치.
  9. 제 7항에 있어서, 연결이 베이스 플레이트와 작업 소재 지지수단 사이의 씰을 형성하는 것을 포함하는 것을 특징으로 하는 반도체 처리장치.
  10. 반도체 처리장치에 있어서,
    로딩 챔버 위에 위치하고 개구부를 포함하는 베이스 플레이트에 의해 분리되는 반응 챔버;
    로딩 위치와 처리위치 사이에서 움직이도록 구성된 이동 작업 소재 지지수단, 상기 작업소재 지지수단은 작업소재 지지수단이 처리위치에 있을때 작업 소재지지수단과 베이스 플레이트 개구부 사이의 씰을 형성하도록 베이스 플레이트 개구부와 연결되고;
    작업 소재 지지수단이 움직이는 동안 로딩 챔버에서 보다 더 높은 반응챔버의 압력을 제어하도록 구성되는 것을 특징으로 하는 반도체 처리장치.
  11. 제 10항에 있어서, 반응 챔버가 크로스-플로우 반응챔버인 것을 특징으로 하는 반도체 처리장치.
  12. 제 10항에 있어서, 제어 시스템이, 작업소재 지지수단이 처리위치에 있는 동안 로딩 챔버 보다 더 낮은 반응챔버의 압력을 제어하도록 구성되는 것을 특징으로 하는 반도체 처리장치.
  13. 제 10항에 있어서,
    반응챔버 인입구;
    반응챔버 배출구;
    로딩챔버 인입구;
    로딩 챔버 배출구을 더 포함하여 구성되고,
    여기서 제어 시스템은,
    작업소재 지지수단이 움직이는 동안 반응 챔버 인입구를 통한 퍼지 가스 흐름을 유지하고,
    작업 소재 지지수단이 움직이는 동안 로딩챔버 배출구를 통한 가스 흐름을 유지하도록 구성되는 것을 특징으로 하는 반도체 처리장치.
  14. 제 10항에 있어서,
    반응챔버에 위치한 반응 가스 인입구; 및
    반응챔버에 위치한 반응 가스 배출구를 더 포함하고,
    상기 반응 가스 인입구가 반응 가스 배출구의 반대쪽에 위치하여 상기 인입구에서 배출구로 흐르는 반응가스가 작업 소재의 면에 평행하게 진행하게 되는 것을 특징으로 하는 반도체 처리장치.
  15. 로딩 챔버 위에 위치되고, 개구부를 포함하는 베이스 플레이트에 의해 분리되는 크로스-플로우 반응 챔버를 포함하는 반도체 처리장치의 반도체 작업 소재를 처리하는 방법에 있어서,
    지지수단이 로딩 위치에 있을때 가동 작업소재 지지수단에 반도체 작업소재를 적재하고;
    로딩 위치와 처리위치 사이에서 작업 소재 지지수단이 움직이고;
    작업소재 지지수단이 움직이는 동안 로딩 챔버보다 반응챔버에서 더 고압을 유지하고;
    처리 위치로 작업 소재 지지수단이 움직인 후 작업소재를 처리하고, 상기 처리가 작업소재의 면에 평행하게 반응 가스가 흐르도록 하는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  16. 제 15항에 있어서, 처리하는 동안 로딩 챔버에서 보다 반응챔버에서 더 낮은 압력을 유지하는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  17. 제 15항에 있어서,
    작업소재 지지수단이 움직이는 동안 퍼지 가스를 반응챔버로 흐르게 하고,
    작업소재 지지수단이 움직이는 동안 로딩챔버 밖으로 가스를 펌핑하는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  18. 제 16항에 있어서, 처리하는 동안 로딩 챔버로 퍼지가스를 흐르게 하고,
    처리하는 동안 반응 챔버 밖으로 가스를 펌핑하는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  19. 제 18항에 있어서,
    처리후 처리위치에서 로딩위치로 작업소재 지지수단을 움직이는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  20. 제 15항에 있어서, 작업소재 지지수단이 처리위치에 있을 때, 작업소재 지지수단이 베이스 플레이트 개구부와 연결되는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  21. 제 20항에 있어서, 연결이 베이스 플레이트와 작업소재 지지수단사이에 갭을 유지하는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  22. 제 20항에 있어서, 연결이 베이스 플레이트와 작업소재 지지수단사이에 씰을 형성하는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  23. 로딩 챔버 위에 위치되고, 개구부를 포함하는 베이스 플레이트에 의해 분리되는 반응 챔버를 포함하는 반도체 처리장치의 작업 소재를 처리하는 방법에 있어서,
    지지수단이 로딩 위치에 있을때 가동 작업소재 지지수단에 반도체 작업소재를 적재하고;
    로딩 위치와 처리위치 사이에서 작업 소재 지지수단이 움직이고;
    작업소재 지지수단이 처리위치에 있을때 작업소재 지지수단과 베이스 플레이트 개구부 사이에 씰을 형성하고;
    작업소재 지지수단이 움직이는 동안 반응챔버로부터 로딩챔버로 가스가 흐르게하는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  24. 제 23항에 있어서, 작업소재 지지수단이 처리위치에 있을 때, 로딩챔버로부터 반응챔버로 가스를 흐르게 하는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  25. 제 23항에 있어서, 작업소재 지지수단이 움직일 때, 퍼지 가스를 반응챔버로 흐르게 하고,
    작업소재 지지수단이 움직일 때, 로딩 챔버로부터 가스를 펌핑하는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  26. 제 24항에 있어서, 작업소재 지지수단이 처리위치에 있을 때, 퍼지가스를 로딩 챔버로 흐르게 하고,
    작업소재 지지수단이 처리위치에 있을 때, 반응챔버로부터 가스를 펌핑하는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
  27. 제 23항에 있어서, 처리가 작업소재의 면에 평행하게 반응가스를 흐르게 하는 것을 포함하는 것을 특징으로 하는 반도체 작업 소재를 처리하는 방법.
KR1020117015867A 2009-02-09 2010-01-05 반도체 가공 챔버의 오염을 최소화하기 위한 방법 및 장치 KR101535576B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/368,081 2009-02-09
US12/368,081 US8287648B2 (en) 2009-02-09 2009-02-09 Method and apparatus for minimizing contamination in semiconductor processing chamber
PCT/US2010/020098 WO2010090781A2 (en) 2009-02-09 2010-01-05 Method and apparatus for minimizing contamination in semiconductor processing chamber

Publications (2)

Publication Number Publication Date
KR20110118771A true KR20110118771A (ko) 2011-11-01
KR101535576B1 KR101535576B1 (ko) 2015-07-09

Family

ID=42540549

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020117015867A KR101535576B1 (ko) 2009-02-09 2010-01-05 반도체 가공 챔버의 오염을 최소화하기 위한 방법 및 장치

Country Status (5)

Country Link
US (2) US8287648B2 (ko)
KR (1) KR101535576B1 (ko)
CN (1) CN102308362B (ko)
TW (1) TWI515816B (ko)
WO (1) WO2010090781A2 (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170006274A (ko) * 2015-07-07 2017-01-17 에이에스엠 아이피 홀딩 비.브이. 자성 서셉터 대 베이스플레이트 시일
KR20170016798A (ko) * 2015-08-04 2017-02-14 에이에스엠 아이피 홀딩 비.브이. 가변 갭 하드 스톱 설계

Families Citing this family (372)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI417984B (zh) * 2009-12-10 2013-12-01 Orbotech Lt Solar Llc 自動排序之多方向性直線型處理裝置
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
WO2012160917A1 (ja) * 2011-05-25 2012-11-29 村田機械株式会社 ロードポート装置、搬送システム、及びコンテナ搬出方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
CN102644062A (zh) * 2012-03-30 2012-08-22 北京七星华创电子股份有限公司 一种在线原子层沉积装置和沉积方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
EP2959504B1 (en) 2013-02-25 2018-07-04 Kla-Tencor Corporation Method and system for gas flow mitigation of molecular contamination of optics
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
NL2010471C2 (en) * 2013-03-18 2014-09-24 Levitech B V Substrate processing apparatus.
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
JP6908999B2 (ja) * 2013-08-12 2021-07-28 アプライド マテリアルズ イスラエル リミテッド 密封されたチャンバを形成するためのシステムおよび方法
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
DK178352B1 (da) * 2015-02-27 2016-01-04 Intelligent Systems As Transport- og lagersystem til servicering af et antal behandlings og plejeområder på et hospital, samt fremgangsmåde til drift heraf.
US10529542B2 (en) * 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
CN107345293B (zh) * 2016-05-06 2019-07-05 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6820186B2 (ja) * 2016-11-22 2021-01-27 株式会社アドテックエンジニアリング 基板取り扱い装置及び基板取り扱い方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872804B2 (en) * 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) * 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US12012652B2 (en) 2018-05-21 2024-06-18 Applied Materials, Inc. Single process volume to perform high-pressure and low-pressure processes with features to reduce cross-contamination
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) * 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
TWI685059B (zh) * 2018-12-11 2020-02-11 財團法人國家實驗研究院 半導體反應裝置與方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) * 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
USD1031676S1 (en) 2020-12-04 2024-06-18 Asm Ip Holding B.V. Combined susceptor, support, and lift system
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4828224A (en) * 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5435682A (en) * 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US4889609A (en) * 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US5186718A (en) * 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP2644912B2 (ja) * 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
JP3020567B2 (ja) 1990-08-20 2000-03-15 アネルバ株式会社 真空処理方法
JPH04118925A (ja) 1990-09-10 1992-04-20 Fujitsu Ltd 複合型処理装置
US5286296A (en) * 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JP3176118B2 (ja) 1992-03-27 2001-06-11 株式会社東芝 多室型基板処理装置
KR100267617B1 (ko) * 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5647945A (en) * 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
JP3050354B2 (ja) 1993-09-20 2000-06-12 東京エレクトロン株式会社 処理方法
US5616208A (en) * 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3394293B2 (ja) * 1993-09-20 2003-04-07 株式会社日立製作所 試料の搬送方法および半導体装置の製造方法
US5423918A (en) * 1993-09-21 1995-06-13 Applied Materials, Inc. Method for reducing particulate contamination during plasma processing of semiconductor devices
JP3486821B2 (ja) 1994-01-21 2004-01-13 東京エレクトロン株式会社 処理装置及び処理装置内の被処理体の搬送方法
JP3254482B2 (ja) 1994-03-31 2002-02-04 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
JPH07283147A (ja) 1994-04-15 1995-10-27 Toshiba Corp 薄膜形成方法
US5934856A (en) * 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5651868A (en) * 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
WO1996024949A1 (fr) * 1995-02-10 1996-08-15 Tokyo Electron Limited Procede de traitement thermique et appareil
US5981399A (en) * 1995-02-15 1999-11-09 Hitachi, Ltd. Method and apparatus for fabricating semiconductor devices
US5586585A (en) * 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) * 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3288200B2 (ja) * 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
US5997588A (en) * 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
US5820692A (en) * 1996-01-16 1998-10-13 Fsi Interntional Vacuum compatible water vapor and rinse process module
US5980195A (en) * 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
US5810942A (en) * 1996-09-11 1998-09-22 Fsi International, Inc. Aerodynamic aerosol chamber
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6048154A (en) * 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5879574A (en) * 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US6224312B1 (en) * 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5844195A (en) * 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
JPH10270527A (ja) 1997-03-21 1998-10-09 Ulvac Japan Ltd 複合型真空処理装置
US6312525B1 (en) * 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
JP3425592B2 (ja) * 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
KR19990076407A (ko) 1998-03-31 1999-10-15 윤종용 반도체장치의 제조공정에 있어서의 박막 형성방법
JPH11288992A (ja) 1998-04-06 1999-10-19 Nissin Electric Co Ltd 被処理物体搬送チャンバ
US6161311A (en) * 1998-07-10 2000-12-19 Asm America, Inc. System and method for reducing particles in epitaxial reactors
US6016611A (en) * 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6106634A (en) * 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
FI118342B (fi) * 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
KR100767762B1 (ko) * 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
JP2001284433A (ja) * 2000-01-28 2001-10-12 Sony Corp 基板移載装置及び基板移載方法
JP3723712B2 (ja) * 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6488778B1 (en) * 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
JP3676983B2 (ja) * 2000-03-29 2005-07-27 株式会社日立国際電気 半導体製造方法、基板処理方法、及び半導体製造装置
JP3760731B2 (ja) * 2000-07-11 2006-03-29 ソニーケミカル株式会社 バンプ付き配線回路基板及びその製造方法
JP2003059997A (ja) 2001-08-08 2003-02-28 Rohm Co Ltd 処理装置および処理方法
US6672864B2 (en) * 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US7066707B1 (en) * 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
US6899507B2 (en) * 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
TWI273642B (en) * 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
EP1506570A1 (en) * 2002-05-21 2005-02-16 ASM America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool
US7521089B2 (en) * 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
EP1420080A3 (en) * 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
JP4121413B2 (ja) * 2003-03-31 2008-07-23 株式会社神戸製鋼所 板状被処理品の高圧処理装置
US7195679B2 (en) * 2003-06-21 2007-03-27 Texas Instruments Incorporated Versatile system for wafer edge remediation
JP4417669B2 (ja) * 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
US7235482B2 (en) * 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
JP2007511902A (ja) 2003-10-29 2007-05-10 エーエスエム アメリカ インコーポレイテッド 薄膜成長用反応装置
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7756599B2 (en) * 2004-10-28 2010-07-13 Tokyo Electron Limited Substrate processing apparatus, program for performing operation and control method thereof, and computer readable storage medium storing the program
US7521374B2 (en) * 2004-11-23 2009-04-21 Applied Materials, Inc. Method and apparatus for cleaning semiconductor substrates
JP2006176826A (ja) * 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20170006274A (ko) * 2015-07-07 2017-01-17 에이에스엠 아이피 홀딩 비.브이. 자성 서셉터 대 베이스플레이트 시일
KR20170016798A (ko) * 2015-08-04 2017-02-14 에이에스엠 아이피 홀딩 비.브이. 가변 갭 하드 스톱 설계

Also Published As

Publication number Publication date
CN102308362B (zh) 2014-02-26
US20100202860A1 (en) 2010-08-12
US20130004288A1 (en) 2013-01-03
WO2010090781A2 (en) 2010-08-12
TWI515816B (zh) 2016-01-01
WO2010090781A3 (en) 2011-02-03
KR101535576B1 (ko) 2015-07-09
TW201034107A (en) 2010-09-16
US8759226B2 (en) 2014-06-24
US8287648B2 (en) 2012-10-16
CN102308362A (zh) 2012-01-04

Similar Documents

Publication Publication Date Title
KR101535576B1 (ko) 반도체 가공 챔버의 오염을 최소화하기 위한 방법 및 장치
KR101850255B1 (ko) 기판 처리 장치 및 기판 처리 방법
JP4564498B2 (ja) 基板処理装置、半導体装置の製造方法及び基板処理方法
CN108531889B (zh) 气体供给装置、气体供给方法和成膜方法
US9121515B2 (en) Gate valve unit, substrate processing device and substrate processing method thereof
WO2016035675A1 (ja) ロードポート及びロードポートの雰囲気置換方法
US20100022093A1 (en) Vacuum processing apparatus, method of operating same and storage medium
US8211798B2 (en) Substrate treating apparatus and method for manufacturing semiconductor device
TWI806915B (zh) 半導體加工設備
TWI648805B (zh) Film forming method and film forming device
US11626313B2 (en) Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US20180112312A1 (en) Film forming apparatus and film forming method
JP5093078B2 (ja) 成膜装置
US20140157722A1 (en) Lid opening/closing system for closed container, and substrate processing method using the same
KR101715460B1 (ko) 가스 처리 방법
JP4777173B2 (ja) 基板処理装置および半導体装置の製造方法
JP2008255386A (ja) 基板処理装置
KR102327270B1 (ko) 지지 유닛, 기판 처리 장치, 그리고 기판 처리 방법
JP2005328081A (ja) 基板処理装置および半導体装置の製造方法
KR20040075375A (ko) 원자층 증착 장치

Legal Events

Date Code Title Description
A201 Request for examination
A302 Request for accelerated examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20190617

Year of fee payment: 5