TWI515816B - 半導體製程室中減少污染的方法及其裝置 - Google Patents

半導體製程室中減少污染的方法及其裝置 Download PDF

Info

Publication number
TWI515816B
TWI515816B TW099101229A TW99101229A TWI515816B TW I515816 B TWI515816 B TW I515816B TW 099101229 A TW099101229 A TW 099101229A TW 99101229 A TW99101229 A TW 99101229A TW I515816 B TWI515816 B TW I515816B
Authority
TW
Taiwan
Prior art keywords
reaction chamber
chamber
workpiece support
workpiece
load
Prior art date
Application number
TW099101229A
Other languages
English (en)
Other versions
TW201034107A (en
Inventor
約瑟夫C 里德
艾立克 雪洛
Original Assignee
Asm美國股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm美國股份有限公司 filed Critical Asm美國股份有限公司
Publication of TW201034107A publication Critical patent/TW201034107A/zh
Application granted granted Critical
Publication of TWI515816B publication Critical patent/TWI515816B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)

Description

半導體製程室中減少污染的方法及其裝置
本發明是關於半導體製程的基板處理系統以及方法。
在諸如電晶體、二極體以及積體電路之半導體器件的製程中,通常將多個此等器件同時製造於薄半導體材料片上,所述半導體材料片稱為基板(substrate)、晶圓(wafer)或工件(workpiece)。當製造此等半導體器件時,需要工件不被微粒污染,污染可能會導致器件故障。因此,將處理工件之反應器內部與反應空間之外部隔離,以防止污染物進入反應空間中。
根據一實施例,一種半導體處理裝置,包含位於裝載室(loading chamber)上方之橫流反應室(cross-flow reaction chamber),所述裝載室與所述橫流反應室由具有開口之底板隔開。可移動工件支撐件(movable workpiece support)經組態以固持半導體工件。驅動機構經組態以使所述工件支撐件在裝載位置與處理位置之間移動。所述裝置亦包含控制系統,所述控制系統經組態以當工件支撐件移動時,控制所述反應室之壓力高於所述裝載室之壓力。
所述控制系統可更經組態以當所述工件支撐件處於所述處理位置時,控制所述反應室之壓力低於所述裝載室之壓力。
根據一實施例,一種半導體處理裝置,包含位於裝載室上方之反應室,所述裝載室與所述反應室由具有開口之底板隔開。可移動工件支撐件經組態以在裝載位置與處理位置之間移動。當所述工件支撐件處於所述處理位置時,所述工件支撐件嚙合底板開口,以在所述工件支撐件與所述底板開口之間形成密封。所述裝置亦包含控制系統,所述控制系統經組態以當工件支撐件移動時,控制所述反應室之壓力高於所述裝載室之壓力。
根據一實施例,提供一種用於在半導體處理裝置中處理半導體工件之方法,所述半導體處理裝置包含位於裝載室上方之橫流反應室,所述裝載室與所述橫流反應室由具有開口之底板隔開。所述方法包含:當可移動工件支撐件處於裝載位置時,將半導體工件裝載於所述支撐件上。所述工件支撐件,在裝載位置與處理位置之間移動。當工件支撐件移動時,維持反應室中之壓力高於裝載室中之壓力。在將工件支撐件移動至處理位置之後,處理所述工件,其中之處理包含使反應氣體(reaction gas)大致平行於工件之面而流動。
處理半導體工件之方法可更包含:在處理期間,於反應室中維持比裝載室中低之壓力。
根據一實施例,提供一種用於在半導體處理裝置中處理工件之方法,所述半導體處理裝置包含位於裝載室上方之反應室,所述裝載室與所述反應室由具有開口之底板隔開。所述方法包含:當可移動工件支撐件處於裝載位置時,將半導體工件裝載於所述支撐件上。所述工件支撐件在裝載位置與處理位置之間移動。當所述工件支撐件處於所述處理位置時,在所述工件支撐件與所述底板開口之間形成密封。當工件支撐件移動時,使氣體自反應室流入裝載室中。
在半導體處理裝置中處理工件之方法可更包含:當所述工件支撐件處於處理位置時,使氣體自裝載室流入反應室中。
在以上實施例中,當所述工件支撐件處於所述處理位置時,所述工件支撐件可嚙合所述底板開口。嚙合可包含在所述底板與所述工件支撐件之間維持間隙。在其他配置中,嚙合可在所述底板與所述工件支撐件之間形成密封。
本發明之某些目標以及優點已說明如上。應理解,並非根據本發明之任何特定實施例皆必定達成所有此等目標或優點。因此,例如,熟習此項技術者將認識到,本發明可以一種方式體現達成或最佳化如本文中所提示的一個優點或一群優點,而未必達成如本文中所提示的其他目標或優點。
為讓本發明之上述和其他目的、特徵和優點能更明顯易懂,下文特舉較佳實施例,並配合所附圖式,詳細說明如下。
儘管下文揭露某些實施例以及實例,但一般熟習此項技術者將理解,本發明擴展超出所揭露之本發明實施例及/或應用以及其明顯修改及等效形式。因此,希望本文中所揭露之本發明之範疇不受下文所描述之所揭露特定實施例的限制。
概述
圖1繪示包含反應室101以及裝載室102的半導體處理裝置100之實施例。反應室101與裝載室102可一起視為處理模組。在所說明之實施例中,反應室101裝設於裝載室102上方,且反應室101與裝載室102由在下文更詳細描述之底板107以及可移動底座或工件支撐件109隔開。
在一些實施例中,與未按比例繪製的示意性圖式相反,反應室101可實質上小於裝載室102。對於單晶圓處理模組,如圖所示,反應室101可具有介於約0.25公升與3公升之間的體積。在一些實施例中,反應室101可具有小於約1公升的體積。在一些實施例中,反應室101可為約900mm長,600mm寬以及5mm高。在一些實施例中,裝載室102可具有介於約30公升與約50公升之間的體積。在一些實施例中,裝載室102可具有約40公升的體積。在一些實施例中,裝載室102可具有為反應室101之體積的約35倍至45倍的體積。經修改後能滿足以下描述之適當裝置之實例為P3000TM或PULSAR 3000TM,其可購自ASM America,Inc. of Phoenix AZ。
在一些實施例中,反應室101可包含一或多個入口103(圖示一個)以及一或多個出口104(圖示一個)。在處理期間,諸如反應物以及淨化氣之氣體可經由反應室入口103流入反應室101中,且諸如過剩反應物、反應物副產物以及淨化氣之氣體可經由反應室出口104流出反應室101。在一些實施例中,裝載室102可包含一或多個入口105(圖示一個)以及一或多個出口106(圖示一個)。在操作中,諸如淨化氣之氣體可經由裝載室入口105流入裝載室102中,且諸如過剩反應物、反應物副產物以及淨化氣之氣體可經由裝載室出口106流出裝載室102。所描繪之組態,諸如入口103、105以及出口104、106之位置,僅為示意性的,且可基於(例如)反應室101中執行之製程、氣體之所要流動路徑等加以調整。
在所說明之實施例中,反應室101包含底板107,所述底板107包含開口108。底板107之內邊緣界定開口108。在一些實施例中,底板107可包含鈦。在所說明實施例中,反應室入口103大致位於反應室出口104對面,使得自反應室入口103流至反應室出口104之反應氣體大致平行於工件W之面、且因此平行於可移動支撐件之上表面而行進。此等反應器有時稱為「橫流」反應器或水平層流反應器(horizontal laminar flow reactor)。在一些實施例中,裝置100可為原子層沈積(atomic layer deposition,ALD)反應器,因而其包含由控制系統113控制之閥以分離地提供反應物脈衝。在一些實施例中,裝置100可包含由控制系統113獨立地控制的兩個或更多個閥,以允許調節反應室101與裝載室102之間的相對壓力及/或流動方向。在一些實施例中,反應室入口103可包含分配系統(distribution system),以便按所要模式(pattern)分配氣體。在一些實施例中,反應室101可靠近反應室出口104而逐漸減縮,使得反應室101之高度接近反應室出口104而減小,藉此限制穿過反應室出口104之氣體流動。儘管在本文中可關於氣相沈積(例如,化學氣相沈積或CVD,及/或原子層氣相沈積或ALD)反應器來描述裝置100,但裝置100可替代地包含其他半導體處理工具,包含(但不限於)乾式蝕刻器(dry etcher)、灰化器(asher)、快速熱退火器(rapid thermal annealer)等等。
裝置100更包含可移動支撐件109,所述可移動支撐件109經組態以藉由驅動機構110之操作,而在裝載位置與處理位置之間移動。圖1描繪根據一實施例之處於裝載位置之支撐件109。支撐件109可經組態以固持半導體工件W(見圖2),諸如矽晶圓。工件W可以各種方式裝載於支撐件109中以及自支撐件109卸載,諸如藉由機械手(robot)之末端執行器(end effector)。支撐件109可包含頂升銷(lift-pin) 111及/或切口(cutout),以輔助藉由槳板(paddle)或叉桿(fork)裝載以及卸載工件W。支撐件109可包含將工件W在裝載後固持於適當位置的真空系統(vacuum system),或者重力自身可將工件W固持於凹穴(pocket)中,凹穴之大小及形狀經設定以容納工件W。裝置100可更包含用於將工件W裝載至支撐件109以及自支撐件109卸載工件W之一或多個閘閥(gate valve) 112(圖示一個)。閘閥112可允許通路至(例如)傳送室(transfer chamber)、真空裝載室(load lock)、製程室、清潔室等。
控制系統113亦經組態或程式化以控制驅動機構110。在一些實施例中,驅動機構110可包含賦予支撐件109垂直移動之活塞或升降機(elevator)。驅動機構110因此經組態以將支撐件109、及將裝設於支撐件109上之工件W,在反應器關閉操作期間移入處理位置,且在反應器打開操作期間移入裝載位置。驅動機構110亦可經組態以旋轉裝設於支撐件109上之工件W。
處理位置
圖2示意性地說明根據一實施例之裝置100,其中之支撐件109處於處理位置。當處於處理位置時,支撐件109嚙合底板107,從而使反應室101之內部與裝載室102有效地隔離或分離。在一些實施例中,嚙合可包含在底板107與支撐件109之間形成硬質金屬對金屬密封。在一些實施例中,嚙合可包含在所述兩個部件中之任一部件上壓縮諸如O形環之可彎曲材料,以在底板107與支撐件109之間形成軟密封。在一些實施例中,嚙合可包含在支撐件109與底板107之間維持間隙,不存在絕對密封。即使在嚙合包含在支撐件109與底板107之間維持間隙的情況下,支撐件仍可藉由在反應室101與裝載室102之間形成對流體連通之實質阻障而有效地分離反應室101與裝載室102。
間隙維持
圖3A示意性地說明包含反應室101'以及裝載室102'的半導體處理裝置100'之實例實施例。裝置100'類似於上文所述之裝置100,不同之處在於支撐件109'與底板107'在支撐件109'處於處理位置時可不形成密封。裝置100'類似於名稱為「Gap Maintenance for Opening to Process Chamber」之美國專利申請案第12/350,793號(於2009年1月8日申請;代理人檔號ASMEX.633A)中所描述之裝置,所述專利申請案之揭露內容特此併入本案供參考,以便於描述在支撐件處於處理位置時,在支撐件與底板之間維持間隙的方法以及裝置。
在所說明之實施例中,當支撐件109'處於處理位置時,支撐件109'與底板107'之間存在間隙314。控制系統113'經組態以將支撐件109'移動至與底板107'嚙合,以在反應室101'中處理工件W。
圖3B說明在支撐件109'與底板107'構件之間包含水平以及垂直間距的間隙314之放大圖。在一些實施例中,設有一或多個襯墊315經組態以垂直地隔開支撐件109'與底板107'。襯墊315可在開口108之周邊均勻地隔開,且可安裝於底板107'之下側及/或支撐件109'之上表面上。襯墊315在俯視圖(top-down view)中可為分離的,從而在對工件W進行處理期間允許反應室101'與裝載室102'之間存在一些的流體連通。
圖4A示意性地說明半導體處理裝置100"之實施例。裝置100"類似於上文所述之裝置100',不同之處在於支撐件109"以及底板107"經設定形狀以及大小,使得間隙314"包含實質上圍繞支撐件109"之環形水平空間。圖4B說明間隙314"之放大圖。
正壓力
儘管以下描述是指圖1之裝置,但應瞭解,所述描述可應用於本文中所揭露之其他裝置,以及其他適當的半導體工件處理裝置。
每當在反應室101中處理工件W時,在支撐件109嚙合底板107時可能會產生粒子。此情形是危險的,不管嚙合是接觸(圖2)還是維持間隙314或314"(圖3A至圖4B)。在典型反應器關閉操作期間,在裝載室102中存在的壓力可能會比在反應室101中存在的壓力高。因此,當支撐件109朝向底板107移動時,氣體自裝載室102經由開口108流入反應室101中。隨著支撐件109朝向與底板107之嚙合移動,支撐件109與底板107之間的間隙變窄,且支撐件109可能會逐漸限制穿過開口108之氣體流動。穿過開口108之逐漸受限的氣體流動,可能會加劇反應室101與裝載室102之間的壓力差,從而使得氣體以較高速度流動穿過支撐件109與底板107之間的逐漸變窄的間隙。隨著支撐件109與底板107之間的間隙進一步變窄,氣體之逐漸增加的速度,可能會使得粒子自附近的掃掠表面移動且被攜帶於反應室101中。此等粒子可能包含許多不同材料,諸如來自反應室101諸部分的材料及/或在反應室101內進行處理期間所沈積的材料之粒子。因此,所述粒子可能包含介電材料、半導電材料或金屬材料。粒子組合物可能取決於底板107、支撐件109之材料以及在反應室101中執行之製程。在一實施例中,所述粒子可能包含(例如)Ti、Al2O3及/或HfO2。此等粒子可能會被無意地輸送至工件W之表面,例如,歸因於在支撐件109朝向與底板107之嚙合而移動時或在支撐件109嚙合底板107時氣體之移動。此等粒子可污染工件W,從而導致工件W之品質以及良率降低。
可藉由在反應器關閉期間於反應室101與裝載室102之間建立正壓力梯度而減少工件污染,其中反應室101中之壓力高於裝載室102中之壓力。在一些實施例中,控制系統113經組態以當工件支撐件109處於運動(可包含打開運動或關閉運動)中時,將反應室101之壓力控制為高於裝載室102之壓力。控制系統113可經組態以在支撐件109處於運動中時,且尤其在朝向與底板107之嚙合移動或嚙合底板107之過程期間,控制氣體穿過入口103、105以及出口104、106之流動,以確保自反應室101至裝載室102之所要流動方向。支撐件109與底板107之間的任何接觸皆會加劇粒子產生問題。
操作方法
圖5A至圖5D說明在圖1之裝置100中處理工件W之實例。然而,應瞭解,所述方法可應用於本文中所揭露之其他裝置,以及其他適當半導體工件處理裝置。
初始狀態
在圖5A中,支撐件109處於裝載位置,且閘閥112關閉。在所說明之實施例中,多個頂升銷111在工件支撐件109之一部分之上方延伸。在一些實施例中,可打開入口103、105及/或出口104、106中之一或多者,以允許氣體在將工件W裝載至支撐件109上之前,流過反應室101及/或裝載室102,以(例如)淨化反應室101及/或裝載室102。
在一些實施例中,控制系統113可使淨化氣體經由反應室入口103流入反應室101中。在一些實施例中,穿過反應室入口103之氣體流動速率可介於約0.5slm與約2.0slm之間。在一些實施例中,穿過反應室入口103之氣體流動速率可介於約0.8slm與約1.2slm之間。在上述實施例中,穿過反應室入口103之氣體流動速率可為恆定的,且與反應室101中之壓力無關。在一些實施例中,反應室出口104可連接至抽吸機構(suction mechanism)或真空幫浦(vacuum pump)。熟習此項技術者應理解,根據反應室及裝載室流動速率傳導率以及抽吸速度(基於製程條件),進入反應室101的氣體可使用許多不同流動速率。
在一些實施例中,控制系統113可使淨化氣體經由裝載室入口105流入裝載室102中。控制系統113可調整穿過裝載室入口105之淨化氣體流動速率,以便維持裝載室102中之所要壓力,例如,介於約0.5托(Torr)與約1.5托之間,且更特定言之,0.8托至1.2托之間。儘管存在上述內容,但在一些實施例中,穿過裝載室入口105之淨化氣體之流動,可由來自壓力感測器及/或流動速率限制器(例如,設定至最大約1slm)的回饋加以控制,該壓力感測器位於裝載室102中且具有在以上範圍內之設定點。在一些實施例中,裝載室出口106可與抽吸機構隔離。當然,應理解,在其他實施例中,替代控制直接在入口上游之淨化氣體流動速率或除了直接在入口上游控制淨化氣體流動速率之外,再對反應室101以及裝載室102中之相對壓力由位於反應室101以及裝載室102之排氣端(exhaust end)處的壓力控制器(例如,節流閥)控制。
在所說明實施例中,當支撐件處於裝載位置時,反應室101實質上向裝載室102開放。由於在支撐件109處於裝載位置時,開口108允許反應室101與裝載室102之間流體連通,故所述兩個腔室之間的壓力將傾向於等化。在由來自壓力感測器之回饋控制穿過裝載室入口105之淨化氣體之流動的實施例中,反應室101中之壓力可能會趨向於回饋控制設定點。因此,在一些實施例中,反應室101中之壓力可大致等於或稍小於上述關於裝載室102所提供之範圍。具體言之,反應室101中之壓力可介於約0.5托與約1.5托之間。在一些實施例中,反應室101中之壓力可介於約0.8托與約1.2托之間。
打開閘閥
在圖5B中,閘閥112已打開,以允許將工件W裝載至支撐件109上。在一些實施例中,工件W可為半導體工件。如上所論述,若使用槳板或叉桿作為機械手末端執行器(未圖示),則支撐件109可包含頂升銷111,工件W可置放於所述頂升銷111上。頂升銷111可經組態以朝向以及遠離支撐件109而移動。由此,頂升銷111以及工件W可朝向支撐件109移動,或經降低以使工件W定位於支撐件109上。在一些實施例中,頂升銷111經組態以當支撐件109朝向處理位置移動或升高時,將工件W降低至支撐件109上。在一些實施例中,可施加真空以將工件W拉至支撐件109,然而,在其他實施例中,可只用重力將工件W保持於支撐件109之凹穴中。
在一些實施例中,閘閥112外部(例如,傳送室中)之壓力可介於約2托與約4托之間。在一些實施例中,閘閥112外部之壓力可介於約2.5托與約3.5托之間。反應室101以及裝載室102中之壓力在閘閥112打開時將傾向於與外部壓力相等。
關閉閘閥
在將工件W裝載於支撐件109上之後,可關閉閘閥112。反應室101以及裝載室102中之壓力,可接著返回至打開閘閥112之前所建立的範圍。在所說明實施例中,由於反應室101向裝載室102開放,故兩個腔室中之壓力將返回至裝載室102之壓力控制設定點。
反應器關閉
在關閉閘閥112之後,可將支撐件109升高至處理位置。在一些實施例中,可能會花費一些時間(例如,約25秒)來將支撐件109移動至處理位置。在反應器關閉程序期間,可能會因如上文所述之粒子產生以及移動而發生工件污染。在一些實施例中,在反應器關閉期間,可藉由於反應室101與裝載室102之間建立正壓力梯度而減少工件污染。在一些實施例中,在反應器關閉期間可形成自反應室101流入裝載室102中的淨氣流,因此防止任何擾動粒子(disturbed particle)進入反應室101,否則所述粒子可能會停留於反應室101內且污染工件W。
在一些實施例中,控制系統113可經組態以在反應器關閉期間,將反應室101之壓力控制為大於裝載室102之壓力。在一些實施例中,當支撐件109處於運動中時,尤其,當將其升高至處理位置時,壓力在反應室101中可比在裝載室102中高約0.1托至約3托。在一些實施例中,當將支撐件109升高至處理位置時,壓力在反應室101中可比在裝載室102中高約0.3托至約2托。反應室101與裝載室102之間的壓力差,在處理模組以較高壓力操作之實施例中可能較大,且在處理模組以較低壓力操作的情況下可能較低。在一些實施例中,反應室101中的壓力(以托為單位)可為裝載室102中的壓力之約1.1倍至約3倍。在一些實施例中,反應室101中之壓力(以托為單位)可為裝載室102中之壓力的約1.3倍至約2倍。
反應室101與裝載室102之間的壓力差,將傾向於隨著支撐件109接近底板107中之開口108而增加。在一實施例中,當支撐件109處於裝載位置時,在反應器關閉之開始時,反應室101中之壓力可介於約1托與約1.6托之間,更特定言之,介於約1.2托與約1.4托之間。在同一實施例中,當支撐件109與底板107嚙合且處於處理位置時,在反應器關閉之結束時,反應室101中之壓力可介於約2托與約4托之間,更特定言之,介於約2.5托與約3.5托之間。在反應器關閉期間,在反應室101之壓力增加時,裝載室102中之壓力可保持穩定或降低,例如,降低至約0.5托與約1.5托之間,更特定言之,降低至約0.8托與約1.2托之間。
在一些實施例中,在支撐件109移動或升高至處理位置時,控制系統可使淨化氣體經由反應室入口103流入反應室101中且經由裝載室出口106流出裝載室102。確保此流動方向之一種方式為在支撐件109移動時自裝載室102抽吸氣體。而自裝載室102抽吸氣體,可藉由將裝載室出口106組態為有效地連接至抽吸機構或真空源、同時降低或切斷經由反應室出口104之抽吸。另一選擇為或另外,經由反應室入口103供應之淨化氣體之流動速率(作為與反應室體積之比率)比淨化氣體流動穿過裝載室入口105之速率(與裝載室體積之比率)大得多。在一些實施例中,在反應器關閉期間,淨化氣體穿過反應室入口103的速率流動可以介於約0.5slm與約1.5slm之間,更特定言之,介於約0.8slm與約1.2slm之間。控制系統113可能會在反應器關閉期間,使淨化氣體經由裝載室入口105流入裝載室102中,但希望無氣體經由裝載室入口105流入裝載室102中。在一些實施例中,穿過反應室入口103之淨化氣體流動速率,可為穿過裝載室入口105之淨化氣體流動之絕對速率的約2倍至約4倍,更特定言之,約2.5倍至約3.5倍。此對於所說明之反應器相當於在反應室101中之淨化氣體流動與腔室體積比率,較在裝載室102中之淨化氣體流動與腔室體積比率高約80倍至約160倍,更特定言之,高約100倍至約140倍,因為裝載室102之體積為反應室101之體積的約40倍。一般熟習此項技術者將顯而易見,可藉由穿過入口103、105以及出口104、106之氣體流動的其他組合,形成在反應器關閉期間的正壓力梯度,其中反應室101中之壓力高於裝載室102中之壓力。
處理
在圖5C中,已關閉閘閥112,且已將支撐件109移動至處理位置。在已將支撐件109移動至處理位置(其中支撐件109與底板107嚙合)之後,可在反應室101中處理工件W。在一些實施例中,在反應室101中處理工件W可包含CVD。在一些實施例中,在反應室101中處理工件W可包含ALD。反應氣體可以層流、水平或「橫流」配置經由反應室入口103流入反應室101中,與工件W相互作用,且經由反應室出口104流出反應室101。在一些實施例中,諸如氮氣之惰性淨化氣體可經由裝載室入口105流入裝載室102中,且經由裝載室出口106流出裝載室102。對於ALD,反應氣體以由淨化週期隔開的脈衝形式交替出現以進行自飽和式表面反應(self-saturating surface reaction),從而每循環形成通常少於一個單層。
在一些情況下,可能需要防止反應氣體在工件處理期間自反應室101漏入裝載室102中。因此,在工件處理期間,可於反應室101與裝載室102之間形成負壓力梯度,其中裝載室102中之壓力大於反應室101中之壓力。在一些實施例中,若支撐件109與底板107之間不存在密封,則在工件處理期間,可形成自裝載室102至反應室101的淨氣流。由此,在工件處理期間自裝載室102至反應室101之惰性氣體之流動將形成擴散障壁(diffusion barrier),以防止反應物以及其他處理副產物流入裝載室102中。
所述控制系統可經組態以當支撐件109處於處理位置時,控制反應室101之壓力低於裝載室102之壓力。在一些實施例中,在工件處理期間,反應室101中之壓力可比裝載室102中之壓力低約0.1托至約2.5托,更特定言之,低約0.3托至1托。在一些實施例中,在工件處理期間,裝載室102中之壓力(以托為單位)可為反應室101中之壓力的約1.1倍至約2倍。舉例而言,反應室101中之壓力在工件處理期間可介於約2.5托與約4.5托之間,而裝載室102中之壓力在工件處理期間可介於約3托與約5托之間。在一些實施例中,反應室101中之壓力在工件處理期間可為約3.5托,而裝載室102中之壓力在工件處理期間可為約4托。
在一些實施例中,在工件處理期間,控制系統113可使反應氣體及/或淨化氣體經由反應室入口103流入反應室101中,且經由反應室出口104流出反應室101。作為實例,在工件處理期間,穿過反應室入口103之總氣體流動可介於約1slm與約1.6slm之間,更特定言之,介於約1.2slm與約1.4slm之間。通常,反應室出口104可連接至抽吸機構,以當工件支撐件109處於處理位置時在工件處理期間自反應室101抽吸氣體。
在一些實施例中,在工件處理期間,控制系統113亦可使淨化氣體作為載氣(carrier gas)經由裝載室入口105流入裝載室102中,且經由裝載室出口106流出裝載室102。舉例而言,在工件處理期間,淨化氣體可以介於約50sccm與約250sccm,更特定言之,介於約100sccm與約200sccm之間的速率流動穿過裝載室入口105。在低淨化流量下,在工件處理期間經由裝載室出口106之抽吸不需要如此強烈,以便維持所要的向內的壓力差。
反應器打開
在於反應室101中處理工件W之後,可將支撐件109降低至裝載位置,如圖5D中所示。在一些實施例中,在降低支撐件109之前,可能存在穩定化週期(例如,小於一分鐘或約20秒至30秒)。將支撐件109移動至裝載位置可能花費約20秒。當將支撐件109降低至裝載位置時,在反應器打開程序期間亦可能發生工件污染。在一些實施例中,在反應器打開期間,可藉由於反應室101與裝載室102之間建立正壓力梯度而減少工件污染。在一些實施例中,在反應器打開期間,可形成自反應室101至裝載室102之淨氣流。在於處理期間使用相反梯度的情況下,更改淨化氣體流動及/或抽吸位準,以返回至所要的向外(自反應室至裝載室)之壓力差。
因此,控制系統可經組態以在反應器打開期間,將反應室101之壓力控制為高於裝載室102之壓力。在一些實施例中,當將支撐件109降低至裝載位置時,反應室101中的壓力可比裝載室102中的壓力高約0.1托至約3托,更特定言之,高約0.3托至約2托。在一些實施例中,反應室101中的壓力可比裝載室102中的壓力高約1.1倍至約3倍,更特定言之,高約1.3倍至約2.0倍。
反應室101與裝載室102之間的壓力差,隨著支撐件109遠離底板107中之開口108移動而將傾向於減小。在一些實施例中,當支撐件109處於處理位置時,在反應器打開之開始時,反應室101中之壓力可介於約2托與約4托之間,更特定言之,介於約2.5托與約3.5托之間。在反應室打開期間,裝載室102中之壓力可介於約0.5托與約1.5托之間,更特定言之,介於約0.8托與約1.2托之間。反應室打開之結束時,當支撐件109處於裝載位置時,反應室101中之壓力可介於約1托與約1.6托之間,更特定言之,介於約1.2托與約1.4托之間。
在一些實施例中,當支撐件109移動或降低至裝載位置時,控制系統可使淨化氣體經由反應室入口103流入反應室101中,且經由裝載室出口106流出裝載室102。在一些實施例中,在反應器打開期間,淨化氣體可以介於約0.5slm與約1.5slm,更特定言之,介於約0.8slm與約1.2slm之間的速率流動穿過反應室入口103。在一些實施例中,在反應器打開期間,氣體經由裝載室出口106自裝載室102進行之真空抽吸增加。經由反應室出口104進行之真空抽汲可減少或隔離。
在替代實施例中,在反應器打開期間,控制系統113可另外使淨化氣體經由裝載室入口105流入裝載室102中。然而,穿過反應室入口103之淨化氣體流動速率可為穿過裝載室入口105之淨化氣體流動速率的約2倍至約4倍,更特定言之,約2.5倍至約3.5倍。一般熟習此項技術者將顯而易見,可藉由穿過入口103、105以及出口104、106之氣體流動的其他組合來在反應器打開期間形成正壓力梯度。
卸載
在圖5D中,支撐件109已在處理之後降低至裝載位置。在一些實施例中,可打開入口103、105及/或出口104、106中之一或多者,以在將工件W自支撐件109卸載之前允許氣體流動穿過反應室101及/或裝載室102。
在一些實施例中,控制系統113可指示淨化氣體經由反應室入口103連續流入反應室101中。在一些實施例中,穿過反應室入口103之氣體流動速率可介於約0.5slm與約1.5slm之間,更特定言之,介於約0.8slm與約1.2slm之間。在一些實施例中,反應室出口104可連接至抽吸機構。
同時,控制系統113可使淨化氣體經由裝載室入口105流入裝載室102中。在一些實施例中,控制系統113可使用來自壓力感測器之回饋來調整穿過裝載室入口105之淨化氣體流動速率,以便在裝載室102中維持介於約0.5托與約1.5托之間,更特定言之,介於約0.8托與約1.2托之間的所要壓力。當支撐件109處於裝載位置時,開口108允許反應室101與裝載室102之間流體連通。因此,在一些實施例中,反應室101中之壓力可大致等於裝載室102中之壓力。儘管存在壓力回饋控制,但在一些實施例中,可由流動速率限制器限制淨化氣體穿過裝載室入口105之流動(例如,限制為最大約1slm)。在一些實施例中,裝載室出口106可與真空抽吸隔離。
工件W可經由閘閥112卸載。在一些實施例中,可釋放真空,使得工件W可不再被吸至支撐件109。可升高頂升銷111以自支撐件109抬高工件W,在支撐件109處,可由機械手末端執行器接取工件W。如上文所論述,當支撐件109朝向裝載位置移動或降低時,頂升銷111可經組態以自支撐件109升高或移動工件W。在一些實施例中,可於圖5A處再次開始新工件之製程。當閘閥112保持打開時,可簡單地調換兩個工件,而非執行單獨的卸載與裝載程序。一般熟習此項技術者應理解,上文論述之壓力/流動速率範圍,僅為對於PULSAR 3000TM系統例示,且熟習此項技術者可依反應器設計而改變實際壓力以及流動速率範圍。
流程圖
圖6為概述根據一實施例之處理工件之方法的流程圖。應理解,所述流程圖中所概述之動作既非耗盡性的亦非排他性的,且額外動作可插入於所揭露之彼等動作之間。此外,並非必須發生所有所揭露之動作。儘管以下描述係指圖1之裝置,但應瞭解,圖6之揭露內容可應用於本文中所揭露之其他裝置,以及其他適當的半導體處理裝置。
參看圖1以及圖6,根據一實施例,可將工件W裝載至支撐件109上(601)。控制系統可接著在反應室101中建立比裝載室102中大的壓力(602)。當將支撐件109升高至處理位置時(603),可維持此正壓力梯度。控制系統可接著在裝載室102中建立比反應室101中大的壓力(604)。當在反應室101中處理工件W時(605),可維持此負壓力梯度。控制系統可接著在反應室101中重新建立比裝載室102中大的壓力(606)。當將支撐件109降低至裝載位置時(607),可維持此正壓力梯度。最後,可自支撐件109移除工件W(608)。
圖7為概述根據一實施例之處理工件之方法的流程圖。應理解,所述流程圖中所概述之動作既非耗盡性的亦非排他性的,且額外動作可插入於所揭露之彼等動作之間。此外,並非必須發生所有所揭露之動作。儘管以下描述係指圖1之裝置,但應瞭解,圖7之揭露內容可應用於本文中所揭露之其他裝置,以及其他適當的半導體工件處理裝置。
參看圖1以及圖7,根據一實施例,可將工件W裝載至支撐件109上(701)。控制系統可接著使淨化氣體自反應室101流入裝載室102中(702)。在一些實施例中,控制系統可使淨化氣體經由反應室入口103流入反應室101中,且經由裝載室出口106流出裝載室102。此氣體流動可包含自裝載室102抽汲氣體。當將支撐件109移動或升高至處理位置時(703),可維持此氣體流動。工件污染可因此而減少,因為在反應器關閉期間所產生或激起的粒子可被引導至裝載室102中。一旦將支撐件109升高至處理位置,在反應室101與裝載室102之間可能仍存在洩露或有意的流體連通。控制系統可接著使淨化氣體自裝載室102流入反應室101中(704)。在一些實施例中,控制系統可使淨化氣體經由裝載室入口105流入裝載室102中,且經由反應室出口104流出反應室101。此氣體流動可包含自反應室101抽汲氣體。當在反應室101中處理工件W時(705),可維持此氣體流動。在處理之後,控制系統可再次使淨化氣體自反應室101流至裝載室102(706)。在一些實施例中,控制系統可使淨化氣體經由反應室入口103流入反應室101中,且經由裝載室出口106流出裝載室102。當將支撐件109降低至裝載位置時(707),可維持此氣體流動。最後,可自支撐件109移除工件W(708)。
一般熟習此項技術者應理解,當將工件支撐件109移動或升高至關閉位置,且氣體自反應室入口103流動穿過裝載室出口106時,較低量的氣體仍可能自反應室出口104流動。在此實例中,自反應室入口103穿過裝載室出口106之氣體流動為優勢流動(predominant flow),且自反應室出口104之氣體流動為少數流動(minority flow)。一般熟習此項技術者亦應理解,當工件支撐件處於處理位置,且氣體自裝載室入口105流動穿過反應室出口104時,較低量的氣體仍可能自裝載室出口106流動。在此實例中,自裝載室入口105穿過反應室出口104之氣體流動為優勢流動,且通過裝載室出口106之氣體流動為少數流動。
圖8為根據一詳細實施例說明在處理工件之方法期間,貫穿所述製程之淨化及抽汲之狀態的流程圖。應理解,所述流程圖中所概述之動作既非耗盡性的亦非排他性的,且額外動作可插入於所揭露之彼等動作之間。此外,並非必須發生所有所揭露之動作。儘管以下描述係指圖1之裝置,但應瞭解,圖8之揭露內容可應用於本文中所揭露之其他裝置,以及其他適當的半導體工件處理裝置。
參看圖8,根據一實施例,控制系統可使淨化氣體流入反應室中;使淨化氣體流入裝載室中;且隔離裝載室與真空抽汲(操作區塊801)。可接著打開閘閥(802),且可將工件裝載於支撐件上或與已在支撐件上之另一工件進行調換(803)。可接著關閉閘閥(804)。如狀態區塊(810)所指示,在執行操作區塊(801)至(804)期間的淨化及抽吸狀態可為如下:淨化氣體經由反應室入口流入反應室中;氣體經由反應室出口104流出反應室101,所述反應室出口104連接至真空抽吸;淨化氣體經由裝載室入口流入裝載室中;氣體不流出裝載室出口,所述裝載室出口與真空抽吸隔離;且在裝載室中之壓力比反應室中之壓力高。
在關閉閘閥(804)之後,控制系統可停止使淨化氣體 流入裝載室中,使得氣體經由裝載室出口流出裝載室,所述裝載室出口可連接至相對較强的真空抽汲(操作區塊811)。可接著將工件支撐件升高(812)至處理位置。如狀態區塊(820)所指示,在執行操作區塊(811)至(812)期間的淨化及抽吸狀態可為如下:淨化氣體經由反應室入口流入反應室中;氣體經由反應室出口流出反應室,所述反應室出口連接至真空抽吸;淨化氣體不經由裝載室入口105流入裝載室102中;氣體經由裝載室出口106流出裝載室,所述裝載室出口106連接至強的真空抽吸;且在反應室中之壓力比裝載室中之壓力高。
當將支撐件移動或升高(812)至處理位置時,控制系統可使淨化氣體流入裝載室中,且控制系統可藉由減小真空抽吸或將裝載室出口106與真空抽吸隔離,而修改氣體經由裝載室出口流出裝載室之速率(操作區塊821)。接著在反應室中處理所述工件(822)。如狀態區塊(830)所指示,在執行操作區塊(821)至(822)期間的淨化及抽吸狀態可為如下:淨化氣體及/或反應氣體經由反應室入口流入反應室中;氣體經由反應室出口流出反應室,所述反應室出口連接至真空抽吸;淨化氣體經由裝載室入口流入裝載室中;氣體經由裝載室出口流出裝載室,所述裝載室出口連接至相對較弱的抽吸機構;且在裝載室中之壓力比反應室中之壓力高。
在處理工件(822)之後,控制系統可停止使淨化氣體流入裝載室中,且控制系統可藉由增加真空抽吸而修改氣體經由裝載室出口流出裝載室之速率(操作區塊831)。接著將工件支撐件降低(832)至裝載位置,且可重複以上循環。如狀態區塊(840)所指示,在執行操作區塊(831)至(832)期間的抽吸及淨化狀態可為如下:淨化氣體經由反應室入口流入反應室中;氣體經由反應室出口流出反應室,所述反應室出口連接至真空抽吸;淨化氣體不經由裝載室入口流入裝載室中;氣體經由裝載室出口流出裝載室,所述裝載室出口連接至相對較強的真空抽吸;且在反應室中之壓力比裝載室中之壓力高。
狀態圖
圖9為說明根據一實施例,在工件處理之各階段之後半導體處理裝置之狀態的圖表。所述半導體處理裝置可類似於繪示於圖1中之裝置100。儘管以下描述係指圖1之裝置,但應瞭解,圖9之揭露內容可應用於本文中所揭露之其他裝置,以及其他適當的半導體處理裝置,但流動速率以及壓力參數之詳情可能因不同反應器設計而異。
第一行列出根據一實施例之工件處理之不同階段,其可類似於上文描述之各階段。參看圖1以及圖9,所述階段為:設定初始開始條件;打開閘閥112;關閉閘閥112;在反應室101與裝載室102之間建立正壓力梯度;升高支撐件109;在反應室101與裝載室102之間建立負壓力梯度;開始在反應室101中處理工件W;在反應室101與裝載室102之間重新建立正壓力梯度;以及降低支撐件109。
第一列列出根據一實施例之裝置100之不同態樣,其狀態在圖表中給出。參看圖1以及圖9,所述態樣為:氣體經由反應室入口103流入反應室101中之大約速率;反應室出口104是否連接至抽吸機構;反應室101中之大約壓力;淨化氣體經由裝載室入口105流入裝載室中之大約速率;裝載室出口106是否連接至真空抽汲,以及真空抽汲之強度;裝載室102中之大約壓力;淨氣流被引導進入之腔室;具有較大壓力之腔室;以及工件支撐件109之位置。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作些許之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為准。
100...半導體處理裝置
100'...半導體處理裝置
100"...半導體處理裝置
101...反應室
101'...反應室
101"...反應室
102...裝載室
102'...裝載室
102"...裝載室
103...反應室入口
104...反應室出口
105...裝載室入口
106...裝載室出口
107...底板
107'...底板
107"...底板
108...開口
109...支撐件
109'...支撐件
109"...支撐件
110...驅動機構
111...頂升銷
112...閘閥
113...控制系統
113'...控制系統
113"...控制系統
314...間隙
314"...間隙
315...襯墊
W...工件
圖1繪示一實施例之半導體處理裝置之橫截面,其中工件支撐件處於裝載位置。
圖2繪示一實施例的圖1之裝置,其中展示工件支撐件處於處理位置。
圖3A繪示另一實施例的半導體處理裝置之橫截面,其中展示工件支撐件處於處理位置。
圖3B為圖3A中之區域B的放大圖。
圖4A繪示另一實施例的半導體處理裝置之橫截面,其中展示工件支撐件處於處理位置。
圖4B為圖4A中之區域B的放大圖。
圖5A至圖5D為說明一實施例之在圖1之裝置中處理工件的方法之示意性橫截面。
圖6為說明一實施例之處理工件之方法的流程圖。
圖7為說明另一實施例之處理工件之方法的流程圖。
圖8為說明另一實施例之處理工件之方法的詳細實例之流程圖。
圖9為說明根據圖8之製程之實例,在工件處理之各階段期間半導體處理裝置之狀態的圖表。
100...半導體處理裝置
101...反應室
102...裝載室
103...反應室入口
104...反應室出口
105...裝載室入口
106...裝載室出口
107...底板
108...開口
109...支撐件
110...驅動機構
111...頂升銷
112...閘閥
113...控制系統

Claims (23)

  1. 一種半導體處理裝置,包含:橫流反應室位於裝載室上方,所述裝載室與所述橫流反應室由包含開口之底板隔開;可移動工件支撐件,經組態以固持半導體工件;驅動機構,經組態以使所述工件支撐件在裝載位置與處理位置之間移動;反應室入口;反應室出口,其中所述反應室入口大致位於所述反應室出口對面,使得自所述反應室入口流至所述反應室出口之反應氣體大致平行於工件之面而行進;裝載室入口;裝載室出口;以及控制系統,經組態以當所述工件支撐件移動時,控制流動通過所述反應室入口及所述反應室出口以及所述裝載室入口及所述裝載室出口,使得所述反應室之壓力高於所述裝載室之壓力,以及當所述工件支撐件處於所述處理位置時,所述反應室之壓力低於所述裝載室之壓力。
  2. 如申請專利範圍第1項所述之裝置,更包含兩個或兩個以上獨立控制之閥,所述閥經組態以允許調節所述反應室與所述裝載室之間的相對壓力及/或流動方向。
  3. 如申請專利範圍第1項所述之裝置,其中所述控制系統更經組態以:當所述工件支撐件移動時,維持淨化氣體流動通過所 述反應室入口;以及當所述工件支撐件移動時,維持氣體流動通過所述裝載室出口。
  4. 如申請專利範圍第1項所述之裝置,其中所述控制系統更經組態以:當所述工件支撐件處於所述處理位置時,維持淨化氣體流動通過所述裝載室入口;以及當所述工件支撐件處於所述處理位置時,維持氣體流動通過所述反應室出口。
  5. 如申請專利範圍第1項所述之裝置,其中當所述工件支撐件處於所述處理位置時,所述工件支撐件嚙合所述底板開口。
  6. 如申請專利範圍第5項所述之裝置,其中嚙合包含在所述底板與所述工件支撐件之間維持間隙。
  7. 如申請專利範圍第5項所述之裝置,其中嚙合包含在所述底板與所述工件支撐件之間形成密封。
  8. 一種半導體處理裝置,包含:反應室位於裝載室上方,所述裝載室與所述反應室由包含開口之底板隔開;可移動工件支撐件,經組態以在裝載位置與處理位置之間移動,其中當所述工件支撐件處於所述處理位置時,所述工件支撐件嚙合所述底板開口,以在所述工件支撐件與所述底板開口之間形成密封;反應室入口; 反應室出口;裝載室入口;裝載室出口;以及控制系統,經組態以當所述工件支撐件移動時,控制流動通過所述反應室入口及所述反應室出口以及所述裝載室入口及所述裝載室出口,使得所述反應室之壓力高於所述裝載室之壓力,以及當所述工件支撐件處於所述處理位置時,所述反應室之壓力低於所述裝載室之壓力。
  9. 如申請專利範圍第8項所述之裝置,其中所述反應室為橫流反應室。
  10. 如申請專利範圍第8項所述之裝置,其中所述控制系統更經組態以:當所述工件支撐件移動時,維持淨化氣體流動通過所述反應室入口;以及當所述工件支撐件移動時,維持氣體流動通過所述裝載室出口。
  11. 如申請專利範圍第8項所述之裝置,其中所述反應室入口大致位於所述反應室出口對面,使得自所述入口流至所述出口之反應氣體大致平行於所述工件之面而行進。
  12. 一種用於在半導體處理裝置中處理半導體工件之方法,所述半導體處理裝置包含位於裝載室上方之橫流反應室,所述裝載室與所述橫流反應室由包含開口之底板隔開,所述方法包含: 當可移動工件支撐件處於裝載位置時,將半導體工件裝載於所述支撐件上;使所述工件支撐件在所述裝載位置與處理位置之間移動;當所述工件支撐件藉由控制流動通過反應室入口及反應室出口以及裝載室入口及裝載室出口移動時,維持所述反應室中之壓力高於所述裝載室中之壓力;在將所述工件支撐件移動至所述處理位置之後,處理所述工件,其中之處理包含使反應氣體大致平行於所述工件之面自所述反應室入口至所述反應室出口而流動;以及在處理期間,藉由控制流動通過所述反應室入口及所述反應室出口以及所述裝載室入口及所述裝載室出口維持所述反應室中之壓力低於所述裝載室中之壓力。
  13. 如申請專利範圍第12項所述之方法,更包含:在所述工件支撐件移動時,使淨化氣體流入所述反應室中;以及當所述工件支撐件移動時,自所述裝載室抽汲出氣體。
  14. 如申請專利範圍第12項所述之方法,更包含:在處理期間,使淨化氣體流入所述裝載室中;以及在處理期間,自所述反應室抽汲出氣體。
  15. 如申請專利範圍第14項所述之方法,更包含:在處理後,使所述工件支撐件自所述處理位置移動至所述裝載位置。
  16. 如申請專利範圍第12項所述之方法,其中當所述 工件支撐件處於所述處理位置時,所述工件支撐件嚙合所述底板開口。
  17. 如申請專利範圍第16所述之方法,其中嚙合包含在所述底板與所述工件支撐件之間維持間隙。
  18. 如申請專利範圍第16項所述之方法,其中嚙合包含在所述底板與所述工件支撐件之間形成密封。
  19. 一種用於在半導體處理裝置中處理工件之方法,所述半導體處理裝置包含位於裝載室上方之反應室,所述裝載室與所述反應室由包含開口之底板隔開,所述方法包含:當可移動工件支撐件處於裝載位置時,將半導體工件裝載於所述支撐件上;使所述工件支撐件在所述裝載位置與處理位置之間移動;當所述工件支撐件處於所述處理位置時,在所述工件支撐件與所述底板開口之間形成密封;當所述工件支撐件藉由控制流動通過所述反應室入口及所述反應室出口以及所述裝載室入口及所述裝載室出口移動時,維持所述反應室中之壓力高於所述裝載室中之壓力,及當所述工件支撐件移動時,使氣體自所述反應室流入所述裝載室中;以及在處理期間,藉由控制流動通過所述反應室入口及所述反應室出口以及所述裝載室入口及所述裝載室出口維持所述反應室中之壓力低於所述裝載室中之壓力。
  20. 如申請專利範圍第19項所述之方法,更包含:當所述工件支撐件處於所述處理位置時,使氣體自所述裝載室流入所述反應室中。
  21. 如申請專利範圍第19項所述之方法,更包含:當所述工件支撐件移動時,使淨化氣體流入所述反應室中;以及當所述工件支撐件移動時,自所述裝載室抽汲氣體。
  22. 如申請專利範圍第20項所述之方法,更包含:當所述工件支撐件處於所述處理位置時,使淨化氣體流入所述裝載室中;以及當所述工件支撐件處於所述處理位置時,自所述反應室抽汲氣體。
  23. 如申請專利範圍第19項所述之方法,更包含在將所述工件支撐件移動至所述處理位置之後,處理所述工件,其中處理包含使反應氣體大致平行於所述工件之面自所述反應室入口至所述反應室出口而流動。
TW099101229A 2009-02-09 2010-01-18 半導體製程室中減少污染的方法及其裝置 TWI515816B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/368,081 US8287648B2 (en) 2009-02-09 2009-02-09 Method and apparatus for minimizing contamination in semiconductor processing chamber

Publications (2)

Publication Number Publication Date
TW201034107A TW201034107A (en) 2010-09-16
TWI515816B true TWI515816B (zh) 2016-01-01

Family

ID=42540549

Family Applications (1)

Application Number Title Priority Date Filing Date
TW099101229A TWI515816B (zh) 2009-02-09 2010-01-18 半導體製程室中減少污染的方法及其裝置

Country Status (5)

Country Link
US (2) US8287648B2 (zh)
KR (1) KR101535576B1 (zh)
CN (1) CN102308362B (zh)
TW (1) TWI515816B (zh)
WO (1) WO2010090781A2 (zh)

Families Citing this family (369)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
JP5347294B2 (ja) * 2007-09-12 2013-11-20 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI485799B (zh) 2009-12-10 2015-05-21 Orbotech Lt Solar Llc 自動排序之直線型處理裝置
US8459276B2 (en) 2011-05-24 2013-06-11 Orbotech LT Solar, LLC. Broken wafer recovery system
CN103548130B (zh) * 2011-05-25 2016-08-17 村田机械株式会社 载入机装置、搬运系统、以及容器搬出方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
CN102644062A (zh) * 2012-03-30 2012-08-22 北京七星华创电子股份有限公司 一种在线原子层沉积装置和沉积方法
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
EP2959504B1 (en) 2013-02-25 2018-07-04 Kla-Tencor Corporation Method and system for gas flow mitigation of molecular contamination of optics
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
NL2010471C2 (en) * 2013-03-18 2014-09-24 Levitech B V Substrate processing apparatus.
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
WO2015023603A1 (en) * 2013-08-12 2015-02-19 Applied Materials Israel, Ltd. System and method for forming a sealed chamber
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
DK178352B1 (da) * 2015-02-27 2016-01-04 Intelligent Systems As Transport- og lagersystem til servicering af et antal behandlings og plejeområder på et hospital, samt fremgangsmåde til drift heraf.
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) * 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) * 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
CN107345293B (zh) * 2016-05-06 2019-07-05 北京北方华创微电子装备有限公司 反应腔室及半导体加工设备
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
JP6820186B2 (ja) * 2016-11-22 2021-01-27 株式会社アドテックエンジニアリング 基板取り扱い装置及び基板取り扱い方法
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11251019B2 (en) * 2016-12-15 2022-02-15 Toyota Jidosha Kabushiki Kaisha Plasma device
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
JP6863199B2 (ja) 2017-09-25 2021-04-21 トヨタ自動車株式会社 プラズマ処理装置
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US20190352774A1 (en) * 2018-05-21 2019-11-21 Applied Materials, Inc. Single process volume to perform high-pressure and low-pressure processes with features to reduce cross-contamination
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) * 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
TWI685059B (zh) * 2018-12-11 2020-02-11 財團法人國家實驗研究院 半導體反應裝置與方法
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11961756B2 (en) 2019-01-17 2024-04-16 Asm Ip Holding B.V. Vented susceptor
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
US11404302B2 (en) * 2019-05-22 2022-08-02 Asm Ip Holding B.V. Substrate susceptor using edge purging
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11764101B2 (en) 2019-10-24 2023-09-19 ASM IP Holding, B.V. Susceptor for semiconductor substrate processing
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210375650A1 (en) * 2020-06-01 2021-12-02 Applied Materials, Inc. High temperature and vacuum isolation processing mini-environments
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5435682A (en) 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US4889609A (en) 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
JP2644912B2 (ja) 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
JP3020567B2 (ja) 1990-08-20 2000-03-15 アネルバ株式会社 真空処理方法
JPH04118925A (ja) 1990-09-10 1992-04-20 Fujitsu Ltd 複合型処理装置
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JP3176118B2 (ja) 1992-03-27 2001-06-11 株式会社東芝 多室型基板処理装置
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
US5647945A (en) 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3050354B2 (ja) 1993-09-20 2000-06-12 東京エレクトロン株式会社 処理方法
JP3394293B2 (ja) 1993-09-20 2003-04-07 株式会社日立製作所 試料の搬送方法および半導体装置の製造方法
US5423918A (en) * 1993-09-21 1995-06-13 Applied Materials, Inc. Method for reducing particulate contamination during plasma processing of semiconductor devices
JP3486821B2 (ja) 1994-01-21 2004-01-13 東京エレクトロン株式会社 処理装置及び処理装置内の被処理体の搬送方法
JP3254482B2 (ja) 1994-03-31 2002-02-04 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
JPH07283147A (ja) 1994-04-15 1995-10-27 Toshiba Corp 薄膜形成方法
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
US5651868A (en) 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
WO1996024949A1 (fr) * 1995-02-10 1996-08-15 Tokyo Electron Limited Procede de traitement thermique et appareil
WO1996025760A1 (fr) 1995-02-15 1996-08-22 Hitachi, Ltd. Procede et machine de fabrication de semiconducteurs
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
JP3288200B2 (ja) 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
US5997588A (en) 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
US5820692A (en) 1996-01-16 1998-10-13 Fsi Interntional Vacuum compatible water vapor and rinse process module
US5980195A (en) * 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
US5810942A (en) 1996-09-11 1998-09-22 Fsi International, Inc. Aerodynamic aerosol chamber
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5879574A (en) 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US6224312B1 (en) 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
JPH10270527A (ja) 1997-03-21 1998-10-09 Ulvac Japan Ltd 複合型真空処理装置
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
JP3425592B2 (ja) 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
KR19990076407A (ko) 1998-03-31 1999-10-15 윤종용 반도체장치의 제조공정에 있어서의 박막 형성방법
JPH11288992A (ja) 1998-04-06 1999-10-19 Nissin Electric Co Ltd 被処理物体搬送チャンバ
US6161311A (en) 1998-07-10 2000-12-19 Asm America, Inc. System and method for reducing particles in epitaxial reactors
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
JP2001284433A (ja) 2000-01-28 2001-10-12 Sony Corp 基板移載装置及び基板移載方法
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6488778B1 (en) 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
JP3676983B2 (ja) 2000-03-29 2005-07-27 株式会社日立国際電気 半導体製造方法、基板処理方法、及び半導体製造装置
JP3760731B2 (ja) * 2000-07-11 2006-03-29 ソニーケミカル株式会社 バンプ付き配線回路基板及びその製造方法
JP2003059997A (ja) 2001-08-08 2003-02-28 Rohm Co Ltd 処理装置および処理方法
US6672864B2 (en) 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US7066707B1 (en) * 2001-08-31 2006-06-27 Asyst Technologies, Inc. Wafer engine
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
TWI273642B (en) 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US6797617B2 (en) 2002-05-21 2004-09-28 Asm America, Inc. Reduced cross-contamination between chambers in a semiconductor processing tool
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
JP4121413B2 (ja) * 2003-03-31 2008-07-23 株式会社神戸製鋼所 板状被処理品の高圧処理装置
US7195679B2 (en) 2003-06-21 2007-03-27 Texas Instruments Incorporated Versatile system for wafer edge remediation
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
US7235482B2 (en) 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
KR20060096445A (ko) 2003-10-29 2006-09-11 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US7699932B2 (en) * 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
US7756599B2 (en) 2004-10-28 2010-07-13 Tokyo Electron Limited Substrate processing apparatus, program for performing operation and control method thereof, and computer readable storage medium storing the program
US7521374B2 (en) 2004-11-23 2009-04-21 Applied Materials, Inc. Method and apparatus for cleaning semiconductor substrates
JP2006176826A (ja) * 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8216380B2 (en) * 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber

Also Published As

Publication number Publication date
KR101535576B1 (ko) 2015-07-09
TW201034107A (en) 2010-09-16
US20100202860A1 (en) 2010-08-12
WO2010090781A2 (en) 2010-08-12
CN102308362A (zh) 2012-01-04
US20130004288A1 (en) 2013-01-03
KR20110118771A (ko) 2011-11-01
US8759226B2 (en) 2014-06-24
WO2010090781A3 (en) 2011-02-03
CN102308362B (zh) 2014-02-26
US8287648B2 (en) 2012-10-16

Similar Documents

Publication Publication Date Title
TWI515816B (zh) 半導體製程室中減少污染的方法及其裝置
JP7165216B2 (ja) 基板キャリア及びパージチャンバの環境制御を伴う基板処理のシステム、装置、及び方法
JP6556148B2 (ja) ロードポート及びロードポートの雰囲気置換方法
JP6606551B2 (ja) 基板処理装置、半導体装置の製造方法および記録媒体
TWI645487B (zh) Substrate processing apparatus and substrate processing method
TWI676089B (zh) 側儲存倉、電子裝置處理系統、和處理基板的方法
KR101664939B1 (ko) 로드록 장치
JP2005527120A (ja) 半導体処理ツール内チャンバ間の相互汚染の減少
JP2013136839A (ja) 真空処理システム
KR101715460B1 (ko) 가스 처리 방법
KR20140034318A (ko) 피처리체의 냉각 방법, 냉각 장치 및 컴퓨터 판독 가능한 기억 매체
KR20170007611A (ko) 퍼니스형 반도체 장치, 이의 세정 방법 및 이를 이용한 박막 형성 방법
JP2013045884A (ja) 基板処理装置
TWI612612B (zh) 基板處理裝置、半導體裝置的製造方法、程式
TWI474373B (zh) Airtight module and exhaust method of the airtight module