TWI612612B - 基板處理裝置、半導體裝置的製造方法、程式 - Google Patents

基板處理裝置、半導體裝置的製造方法、程式 Download PDF

Info

Publication number
TWI612612B
TWI612612B TW106104115A TW106104115A TWI612612B TW I612612 B TWI612612 B TW I612612B TW 106104115 A TW106104115 A TW 106104115A TW 106104115 A TW106104115 A TW 106104115A TW I612612 B TWI612612 B TW I612612B
Authority
TW
Taiwan
Prior art keywords
substrate
type
reactor
prescription
support mechanism
Prior art date
Application number
TW106104115A
Other languages
English (en)
Other versions
TW201822294A (zh
Inventor
Takashi Yahata
Tadashi Takasaki
Original Assignee
Hitachi Int Electric Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hitachi Int Electric Inc filed Critical Hitachi Int Electric Inc
Application granted granted Critical
Publication of TWI612612B publication Critical patent/TWI612612B/zh
Publication of TW201822294A publication Critical patent/TW201822294A/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/02Details
    • H01J37/18Vacuum locks ; Means for obtaining or maintaining the desired pressure within the vessel
    • H01J37/185Means for transferring objects between different enclosures of different pressure or atmosphere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67201Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/673Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere using specially adapted carriers or holders; Fixing the workpieces on such carriers or holders
    • H01L21/6732Vertical carrier comprising wall type elements whereby the substrates are horizontally supported, e.g. comprising sidewalls
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/18Vacuum control means
    • H01J2237/184Vacuum locks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67742Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Robotics (AREA)
  • Analytical Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
  • Chemical Vapour Deposition (AREA)

Description

基板處理裝置、半導體裝置的製造方法、程式
本發明是有關基板處理裝置,半導體裝置的製造方法及程式。
作為在半導體裝置的製造工程使用的基板處理裝置之一形態,例如有具有加載互鎖(load lock)室的裝置(例如專利文獻1)。
[先行技術文獻] [專利文獻]
[專利文獻1]日本特開2001-345279號公報
在半導體裝置中使用多種類的基板。例如,直徑為200mm的基板或300mm的基板。為了處理該等,以往200mm基板專用的裝置或300mm專用的裝置被開 發。
近年來隨著IoT(Internet of Things)市場的擴充,期望多品種的基板處理。然而,因為基板處理裝置佔有空間(footprint)大或高額,所以備齊多數的專用裝置有限。
於是,本發明是以提供一種基板的種類無限制可處理基板的技術為目的。
若根據本發明之一形態,則提供一種基板處理裝置的技術,係具備:加載互鎖室,其係具有支撐基板的第一支撐部及第二支撐部;第1搬送機構,其係具有從加載互鎖室的一方側搬送基板至加載互鎖室內外的鑷子;第2搬送機構,其係具有從加載互鎖室的另一方側搬送基板至加載互鎖室內外的鑷子;反應器,其係處理基板,其特徵為:前述第一支撐部,係具有以和前述臂的進入方向直行的側的寬度為第一寬度分離的第一支撐機構,前述第二支撐部,係具有以比前述第一寬度更小的第二寬度分離的第二支撐機構。
若根據本發明,則可提供一種基板的種類不被限制可處理基板的技術。
10‧‧‧基板處理裝置
200‧‧‧晶圓(基板)
280‧‧‧控制器
RCa~RCd‧‧‧反應器
311‧‧‧第一晶圓支撐部
321‧‧‧第二晶圓支撐部
圖1是表示本發明的實施形態的基板處理裝置的概略構成例的說明圖。
圖2是表示本發明的實施形態的基板處理裝置的概略構成例的說明圖。
圖3是說明本發明的實施形態的加載互鎖室的說明圖。
圖4是說明本發明的實施形態的加載互鎖室的說明圖。
圖5是說明本發明的實施形態的加載互鎖室的說明圖。
圖6是說明本發明的實施形態的RC的說明圖。
圖7是說明本發明的實施形態的控制器的說明圖。
圖8是說明本發明的實施形態的基板處理流程的說明圖。
圖9是說明本發明的實施形態的加載互鎖室的說明圖。
圖10是說明比較例的加載互鎖室的說明圖。
圖11是說明比較例的加載互鎖室的說明圖。
以下,一邊參照圖面一面說明有關本發明的實施形態。
[本發明的第一實施形態]
首先,說明有關本發明的第一實施形態。
以下,一邊參照圖面一面說明有關本發明的第一實施形態。
(基板處理裝置)
首先,利用圖1及圖2說明有關本實施形態的基板處理裝置10。圖1是本實施形態的集群(cluster)型的基板處理裝置10的橫剖面圖。圖2是本實施形態的集群型的基板處理裝置10的縱剖面概略圖。
另外,在本發明所適用的基板處理裝置10中,搬送作為基板的晶圓200之載體是使用FOUP(Front Opening Unified Pod:以下稱為晶舟盒)100。本實施形態的集群型的基板處理裝置10的搬送裝置是被分成真空側及大氣側。
並且,在以下的說明中,前後左右是以圖1為基準。將圖1所示的X1的方向設為右,將X2的方向設為左,將Y1的方向設為前,將Y2的方向設為後。
(真空側的構成)
如圖1及圖2所示般,基板處理裝置10是具備可耐於真空狀態等的大氣壓未滿的壓力(負壓)之第1搬送室103。第1搬送室103的框體101是平面視例如為五角形,形成上下兩端為閉塞的箱形狀。
在第1搬送室103內是設有在負壓下移載晶圓200的第1晶圓移載機(第1搬送機構)112。第1晶圓移載機112是構成為可藉由第1晶圓移載機昇降機115來一面維持第1搬送室103的氣密性一面昇降。
在框體101的五片的側壁之中位於前側的側壁是加載互鎖室122,123會分別經由閘閥126,127來連結。加載互鎖室122,123是被構成可併用搬入晶圓200的機能及搬出晶圓200的機能,以可分別耐於負壓的構造所構成。加載互鎖室122、123的詳細後述。
在第1搬送室103的框體101的五片的側壁之中位於後側(背面側)的四片的側壁是對基板進行所望的處理之第1~第4反應器RCa~RCd會經由閘閥150~153來分別鄰接而連結。
在加載互鎖室122,123的前側是可在真空下及大氣壓下的狀態搬送晶圓200的第2搬送室121會經由閘閥128、129來連結。在第2搬送室121是設有移載晶圓200的第2晶圓移載機(第2搬送機構)124。第2晶圓移載機124是構成可藉由被設置在第2搬送室121內的第2晶圓移載機昇降機131來昇降,且構成可藉由線性促 動裝置132來往復移動於左右方向。
在第2搬送室121的框體125的前側是設有用以對於第2搬送室121搬入搬出晶圓200的基板搬入搬出口134、及晶舟盒開啟器108。在隔基板搬入搬出口134來與晶舟盒開啟器108相反的側,亦即框體125的外側是設有裝載埠105。
另外,第1晶圓移載機112是可更換支撐晶圓200的鑷子112a的構成。例如,在搬送300mm晶圓時,將鑷子112a更換成第一真空搬送鑷子之真空對應的300mm晶圓搬送用鑷子,在搬送200mm晶圓時,將鑷子112a更換成第二真空搬送鑷子之真空對應的200mm用晶圓搬送用鑷子。第2晶圓移載機124也同樣,在搬送300mm晶圓時,將鑷子124a更換成第一大氣搬送鑷子之大氣對應的300mm晶圓搬送用鑷子,在搬送200mm晶圓時,將鑷子124a更換成第二大氣搬送鑷子之大氣對應的200mm用晶圓搬送用鑷子。
在本實施例中,將直徑大的晶圓200稱為晶圓200L,將直徑小的晶圓稱為晶圓200S。晶圓200L是例如300mm晶圓,晶圓200S是例如200mm晶圓。
(加載互鎖室)
接著,主要利用圖3來說明本實施形態的加載互鎖室的構成。圖3是圖2的α-α’的縱剖面圖。在此是以加載互鎖室122為例進行說明。另外,鑷子是從前面往裡面或從 裡面往前面方向移動。
加載互鎖室122是具有框體300。框體300之中,在與框體101鄰接的壁是設有用以搬出入晶圓200的搬出入口。同樣,在與框體125鄰接的壁也設有用以搬出入晶圓200的搬出入口。
在框體300的內部是設有晶舟301,在晶舟301是設有第一晶圓支撐部(第一支撐部)311及第二晶圓支撐部(第二支撐部)321。晶舟301是以在Y方向鑷子能夠進入的方式,框體101、框體125的各個的方向會被開放。晶舟301是被晶舟支撐機構303支撐。支撐機構303是貫通框體300的底壁304,且藉由昇降機構305來支撐。昇降機構305是使晶舟301昇降。
第一晶圓支撐部311是具有複數多段固定於晶舟301的側壁302之支撐機構。支撐機構是藉由被固定於一方的側壁302之支撐機構311R及被固定於另一方的側壁302之支撐機構311L所構成。
支撐機構311L,R是被延伸於Y方向。而且,在X方向,從側壁302往框體300的中央側(例如點線306側)延伸。
支撐機構311R與支撐機構311L是被構成離開距離m(第一距離)。距離m是被構成為比第一真空搬送鑷子的寬度、及第一大氣搬送鑷子的寬度更寬。
例如圖4記載般,晶圓200L的端部(邊緣)會藉由支撐機構311R、支撐機構311L所支撐。本圖的晶 圓200L是例如300mm晶圓。
第二晶圓支撐部321是具備複數多段固定於側壁302的支撐機構。支撐機構是藉由被固定於一方的側壁302之支撐機構321R及被固定於另一方的側壁302之支撐機構321L所構成。
支撐機構321L,R是被延伸於Y方向。而且,在X方向,從側壁302往框體300的中央側(例如、點線306)延伸。
支撐機構321R與支撐機構321L是被構成離開距離n(第二距離)。距離n是被構成為比第二真空搬送鑷子的寬度、及第二大氣搬送鑷子的寬度更寬。第二距離(寬度)是比第一距離更小的距離。
支撐機構311L,R及支撐機構321L,R是在垂直方向交替獨立配成多段。
例如圖5記載般,晶圓200S的端部(邊緣)會藉由支撐機構321R、支撐機構321L來支撐。
在框體300的頂部307是設有用以對框體300內供給壓力調整用的惰性氣體之惰性氣體供給孔308。在惰性氣體供給孔308是設有惰性氣體供給管331。在惰性氣體供給管331是從上游依序設有惰性氣體源332、質量流控制器333、閥334,控制被供給至框體300內的惰性氣體的供給量。惰性氣體是使用不影響在晶圓200上所被形成的膜之氣體。例如使用氦(He)氣體或氮氣體(N2)、氬(Ar)氣體。
主要以惰性氣體供給管331、質量流控制器333、閥334來構成加載互鎖室的惰性氣體供給部330。另外,亦可將惰性氣體源332、氣體供給孔308含在惰性氣體供給部中。
在框體300的底壁304是設有用以將框體300內的環境予以排氣的排氣孔309。在排氣孔309是設有排氣管341。在排氣管341是從上游依序設有壓力控制器的APC(Auto Pressure Controller)342、泵343。
主要以排氣管341、APC342來構成加載互鎖室的氣體排氣部340。另外,亦可將泵343、排氣孔309含在氣體排氣部中。
藉由氣體供給部330、氣體排氣部340的合作來控制加載互鎖室的環境。
其次,說明支撐機構311L,R及支撐機構321L,R在垂直方向交替獨立配置的優點。
首先,利用圖10來說明第一比較例。在圖10中,說明在晶圓200L及晶圓200S使用共通的支撐構造的情況。基於說明的方便起見,分別記載晶圓200L及晶圓200S。
圖10的比較例是以支撐部410來支撐晶圓200。支撐部410是具有支撐機構411。支撐機構411是由被固定於一方的側壁302的支撐機構411R及被固定於另一方的側壁302的支撐機構411L所構成。
支撐機構411L,R是從側壁302延伸至斜下 方。如圖10記載般,兼備晶圓200L及晶圓200S的支撐機構者,以支撐機構411R,L的各個接近中央線306的前端部來支撐晶圓200S,且以支撐機構411R,L之中接近側壁302的根部412來支撐晶圓200L。
可是,經發明者的深入研究的結果,如此的構造的情況,在晶圓200L與支撐機構411接觸之處412產生微粒時,發現有可能微粒會擴散至支撐機構411的前端、或下方的支撐機構411的方向。因為晶圓的自重等而晶圓彎曲時,在接觸處412,支撐機構411與晶圓的接觸面積會增加所致。如一般為人所知那樣,微粒的產生量是與晶圓的接觸面積成比例增加,所以在如此的機構是微粒會增加。因此,恐有牽連良品率的降低之虞。
相對於此,本實施形態的構造的情況,如圖4記載般,因為經常支撐晶圓200的邊緣,所以即使晶圓200彎曲,接觸面積也不會增加。因此,可抑制微粒的產生或良品率的降低。
即使假設在接觸處312產生微粒,也會因為可在正下面的支撐機構321的根部分322捕獲,所以不會有以比根部分322更下方的支撐機構311所支撐的晶圓200L受到微粒的影響之情形。
接著,利用圖11來說明第二比較例。在圖11中,不是交替配置晶圓200L的支撐機構311R,L及晶圓200S的支撐機構321R,L,而是設為分別匯集配置晶圓200L的支撐機構311R,L及晶圓200S的支撐機構 321R,L的構造。
在圖11中,501是表示垂直方向的上下的支撐機構311間的空間,502是表示垂直方向的上下的支撐機構321間的空間。在各空間501、502中滯留有因為晶圓的接觸而產生的微粒。
如前述般,在加載互鎖室中交替地更換真空環境與待機環境。此時,藉由氣體供給部330、氣體排氣部340的合作,微粒不會擴散至框體300內,藉由慢慢且一定量的惰性氣體供給/排氣來控制環境。
可是相對於空間501為容易將環境排氣的構造,空間502從支撐構造321的前端到側壁302的距離長,所以為難以將環境排氣的構造。因此,進行預定流量的惰性氣體供給/排氣時,難以將空間502的環境排氣。為了將空間502的環境排氣,雖亦可思考使供給流量及排氣流量增加,使惰性氣體的流速增加,藉此將空間502的環境排氣,但可想像流速大的惰性氣體會碰撞支撐機構321的前端引起亂流。此情況,空間501或空間502的微粒恐有擴散至框體300內之虞。而且,作為別的方法,可思考一面維持前述的預定流量,一面至空間502的環境被排氣為止,花時間將環境排氣,但由於環境的置換費時,因此處理能力會降低。
相對於此,本實施形態的構造的情況,如圖4記載般,各構造被均一地配置,且支撐機構311與支撐機構312之間的空間313的上方被開放。亦即,將空間312 的環境排氣設為容易的構造。因此,不會有使處理能力降低的情形,實現微粒少的構造。
另外,作為不受存在於其他的支撐機構的微粒的影響之方法,可思考從晶圓200L切換至處理晶圓200S時,藉由維修人員的手來洗滌加載互鎖室等的方法。然而,因為加載互鎖室構造複雜,特別是支撐機構311與支撐機構321之間窄,所以會有各支撐機構的上面的洗滌不夠充分的問題。而且,一旦每次進行洗滌,則中斷時間會增加,因此會有處理效率變差的問題。相對於此,若為本實施形態的構造,則受微粒的影響的情形少,且無中斷時間變長的情形。
可是,在支撐機構311與支撐機構321的配置的組合中,最好支撐機構311被配置於最上方。因為將支撐機構311配置於最上方,所以不會有阻礙惰性氣體從支撐機構311到支撐機構321的流動的情形,可形成無亂流的氣體流動。假設將支撐機構321配置於最上方時,被供給的惰性氣體是首先衝突於支撐機構321,在此引起亂流。被引起的亂流會使微粒擴散至框體300內。
相對於此,如上述般,藉由將支撐機構311配置於最上方,形成無亂流的惰性氣體的流動,可抑制微粒的擴散。
(反應器)
接著,主要利用圖6來說明作為處理本實施形態的基 板的處理爐之反應器的構成。圖6是本實施形態的基板處理裝置10所具備的反應器的橫剖面概略圖。
在此,在本實施形態中,第1~第4反應器RCa~RCd是分別同樣地構成。以下是將第1~第4反應器RCa~RCd總稱為「RC」。
(容器)
如圖例般,RC是具備容器202。在容器202內是形成有處理矽晶圓等的晶圓200之處理空間205、及在將晶圓200般送至處理空間205時晶圓200所通過的搬送空間206。容器202是以上部容器202a及下部容器202b所構成。在上部容器202a與下部容器202b之間是設有隔板208。
在下部容器202b的側面是設有與閘閥151鄰接的基板搬出入口,晶圓200是經由基板搬出入口來移動於與框體101之間。在下部容器202b的底部是設有複數個昇降銷207。而且,下部容器202b是被接地。
在處理空間205是配置有支撐晶圓200的基板支撐部210。基板支撐部210是主要具有:載置晶圓200的基板載置面211、及在表面持有基板載置面211的基板載置台212、及被設在基板載置台212內之作為加熱源的加熱器213。在基板載置台212中,昇降銷207所貫通的貫通孔214會分別被設在與昇降銷207對應的位置。
基板載置台212是藉由軸(shaft)217來支 撐。軸217是貫通容器202的底部,更在容器202的外部連接至昇降部218。
在處理空間205的上部(上游側)是設有作為氣體分散機構的淋浴頭230。在淋浴頭230的蓋231是設有氣體導入孔231a。貫通孔231a是與後述的氣體供給管242連通。
淋浴頭230是具備作為用以使氣體分散的分散機構之分散板234。此分散板234的上游側為緩衝空間232,下游側為處理空間205。在分散板234是設有複數的貫通孔234a。
上部容器202a是具有凸緣,支撐塊233會被載置固定於凸緣上。支撐塊233是具有凸緣233a,在凸緣233a上是分散板234會被載置固定。而且,蓋231是被固定於支撐塊233的上面。
(供給部)
以能夠和被設在淋浴頭230的蓋231之氣體導入孔231a連通的方式,在蓋231連接共通氣體供給管242。在共通氣體供給管242是連接有第一氣體供給管243a、第二氣體供給管244a、第三氣體供給管245a。第二氣體供給管244a是被連接至共通氣體供給管242。
(第一氣體供給系)
在第一氣體供給管243a是從上游方向依序設有第一 氣體源243b、流量控制器(流量控制部)的質量流控制器(MFC)243c及開閉閥的閥243d。
第一氣體源243b是含有第一元素的第一氣體(亦稱為「含矽第一元素氣體」)源。含矽第一元素氣體是原料氣體,亦即處理氣體之一。在此,第一元素是例如矽(Si)。亦即,含矽第一元素氣體是例如含矽氣體。具體而言,可使用六氯以乙矽烷(Si2Cl6。亦稱為HCD)氣體,作為含矽氣體。
主要藉由第一氣體供給管243a、質量流控制器243c、閥243d來構成第一氣體供給系243(亦稱為含矽氣體供給系)。
(第二氣體供給系)
在第二氣體供給管244a是從上游方向依序設有第二氣體源244b、流量控制器(流量控制部)的質量流控制器(MFC)244c及開閉閥的閥244d。
第二氣體源244b是含有第二元素的第二氣體(以下亦稱為「含矽第二元素氣體」)源。含矽第二元素氣體是處理氣體之一。另外,含矽第二元素氣體是亦可思考作為反應氣體或改質氣體。第二氣體是例如氧(O2)氣體。例如,使用在處理晶圓200L時。
主要藉由第二氣體供給管244a、質量流控制器244c、閥244d來構成第二氣體供給系244(亦稱為含氧氣體供給系)。
(第三氣體供給系)
在第三氣體供給管245a是從上游方向依序設有第三氣體源245b、流量控制器(流量控制部)的質量流控制器(MFC)245c及開閉閥的閥245d。
第三氣體源245b是含有與第二元素不同的第三元素之氣體的氣體源。第三元素含有氣體是處理氣體之一。另外,第三元素含有氣體是亦可思考作為反應氣體或改質氣體。第三氣體是例如氨(NH3)氣體。例如,使用在處理晶圓200S時。
主要藉由第三氣體供給管245a、質量流控制器245c、閥245d來構成第三氣體供給系245。
(排氣系)
將容器202的環境排氣的排氣系是具有被連接至容器202的複數的排氣管。具有:被連接至處理空間205的排氣管(第1排氣管)262、及被連接至搬送空間206的排氣管(第2排氣管)261。並且,在各排氣管261,262的下游側是連接有排氣管(第3排氣管)268。
排氣管261是被設在搬送空間206的側方或下方。在排氣管261是設有泵264(TMP。Turbo Morecular Pump)。在排氣管261中,在泵264的上游側是設有作為搬送空間用第一排氣閥的閥265。
排氣管262是被設在處理空間205的側方。 在排氣管262是設有將處理空間205內控制於預定的壓力之壓力控制器的APC(AutoPressure Controller)266。APC266是具有開度調整可能的閥體(未圖示),按照來自控制器280的指示來調整排氣管262的電導。並且,在排氣管262中,在APC266的上游側是設有閥267。將排氣管262及閥267、APC266匯集稱為處理室排氣系。
在排氣管268是設有DP(Dry Pump,乾式泵)269。如圖示般,在排氣管268是從其上游側連接有排氣管262、排氣管261,且在該等的下游設有DP269。DP269是分別經由排氣管262、排氣管261來將緩衝空間232、處理空間205及搬送空間206的各者的環境排氣。
(控制器)
其次,利用圖7來說明控制器280的詳細。基板處理裝置10是具有控制基板處理裝置10的各部的動作之控制器280。
控制部(控制手段)的控制器280是構成為具備CPU(Central Processing Unit)280a、RAM(Random Access Memory)280b、作為記憶部的記憶裝置280c、I/O埠280d之電腦。RAM280b、記憶裝置280c、I/O埠280d是被構成為可經由內部匯流排280f來與CPU280a進行資料交換。基板處理裝置10內的資料的送收訊是依據亦為CPU280a的一個機能的送收訊指示部280e的指示來進行。
在控制器280是被構成可連接例如作為觸控面板等構成的輸出入裝置281或外部記憶裝置282。而且,設有經由網路來連接至上位裝置270的收訊部283。
記憶裝置280c是例如以快閃記憶體、HDD(Hard Disk Drive)等所構成。在記憶裝置280c內是可讀出地儲存有用以控制基板處理裝置的動作的控制程式、或記載有後述的基板處理的程序或條件等的製程處方、後述的表等。另外,製程處方是使後述的基板處理工程的各程序實行於控制器280,組合成為可取得預定的結果者,作為程式機能。以下,將此製程處方或控制程式等總稱,亦簡稱為程式。另外,在本說明書中稱程式時,有只包含製程處方單體的情況,只包含控制程式單體時,或包含其雙方時。並且,RAM280b是構成為藉由CPU280a來讀出的程式或資料等暫時性地被保持的記憶領域(工作區域)。
I/O埠280d是被連接至各閘閥151、後述設在反應器的昇降機構218、各壓力調整器、各泵、昇降機等,基板處理裝置10的各構成。
CPU280a是讀出來自記憶裝置280c的控制程式而實行,且構成可按照來自輸出入裝置281的操作指令的輸入等,從記憶裝置280c讀出製程處方。而且,CPU280a是以能按照所被讀出的製程處方的內容之方式,構成可控制閘閥151的開閉動作、晶圓移載機112、124的動作、昇降機構218的昇降動作、各泵的ON/OFF控 制、質量流控制器的流量調整動作、閥等。記錄有對應於各晶圓的處方作為製程處方。例如,記憶有在晶圓200L上形成SiO膜的第一處方,記憶有在晶圓200S上形成SiN膜的第二處方。該等的處方是構成一但從上位裝置等接收處理各個的晶圓之指示則讀出。
例如,一旦接到將第一種類的晶圓200L搬入至反應器RC的指示,則讀出第一處方。將晶圓200L載置於第一支撐機構311之後,一旦晶圓200L被搬入至反應器RC,則按照第一處方來處理晶圓。
並且,一旦接到將第二種類的晶圓200S搬入至反應器RC的指示,則讀出第二處方。將晶圓200S載置於第二支撐機構321之後,一旦晶圓200S被搬入至反應器RC,則按照第二處方來處理晶圓。
另外,如此的控制器280是利用儲存上述程式的外部記錄媒體(例如硬碟等的磁碟,DVD等的光碟,MO等的光磁碟,USB記憶體等的半導體記憶體)282來將程式等安裝於電腦,藉此可構成本實施形態的控制器280。另外,用以對電腦供給程式的手段是不限於經由外部記憶裝置282來供給的情況。例如,亦可使用網路或專線等的通訊手段,不經由外部記憶裝置282來供給程式。另外,記憶裝置280c或外部記憶裝置282是構成為電腦可讀取的記錄媒體。以下,亦將該等總稱簡稱為「記錄媒體」。另外,在本說明書中稱記錄媒體時,有只包含記憶裝置280c單體的情況,只包含外部記憶裝置282單 體時,或包含其雙方時。
(基板處理工程)
其次,說明有關利用上述的構成在晶圓200上形成薄膜的工程,作為半導體製造工程的一工程。另外,在以下的說明中,構成基板處理裝置的各部的動作是藉由控制器280來控制。
首先,說明處理晶圓200L的情況。在加載互鎖室122中載置於第一支撐部310。在RC中,使用令HCD氣化而取得的HCD氣體作為含矽第一元素氣體(第一處理氣體),使用O2氣體作為含矽第二元素氣體(第二處理氣體),藉由交替供給該等,在晶圓200上形成矽氧化(SiO)膜作為含矽膜。以下說明有關形成例。
其次,利用圖8來說明有關膜處理流程的詳細。
(S202)
一旦在容器202內搬入晶圓200L,則使移載機112往容器202之外退避,關閉閘閥151而將容器202內密閉。然後,藉由使基板載置台212上昇,使晶圓200載置於被設在基板載置台212的基板載置面211上,更藉由使基板載置台212上昇,使晶圓200上昇至前述的處理空間205內的處理位置(基板處理地點)。
晶圓200被搬入至搬送空間205之後,一旦 上昇至處理空間205內的處理位置,則將閥266及閥267設為閉狀態。藉此,搬送空間205與TMP264之間及TMP264與排氣管268之間會被遮蔽,TMP264之搬送空間205的排氣終了。另一方,開啟閥277及閥267,使處理空間205與APC266之間連通,且使APC266與DP269之間連通。APC266是藉由調整排氣管262的電導,控制DP269之處理空間205的排氣流量,將處理空間205維持於預定的壓力(例如10-5~10-1Pa的高真空)。
如此一來,在S202中,將處理空間205內控制成為預定的壓力,且晶圓200L的表面溫度會控制成為預定的溫度。溫度是例如室溫以上500℃以下,較理想是室溫以上400℃以下。壓力是例如可思考設為50~5000Pa。
(S204)
S202之後,進行S204的成膜工程。在成膜工程中,按照製程處方來控制第一氣體供給系243而將第一氣體供給至處理空間205,且控制排氣系而將處理空間排氣,進行膜處理。另外,在此是控制第二氣體供給系244來使第二氣體與第一氣體同時存在於處理空間而進行CVD處理,或亦可交替地供給第一氣體及第二氣體而進行循環處理。
(S206)
在S206中,以和上述的S202相反的程序,將處理完成的晶圓200L往容器202之外搬出。然後,以和S202同樣的程序,將其次待機之未處理的晶圓200L搬入至容器202內。然後,對於被搬入的晶圓200是實行S204。
接著,說明處理晶圓200S的情況。處理晶圓200S時,首先更換鑷子等,將晶圓200S設為可處理。一旦成為可處理的狀態,則將晶圓200S載置於加載互鎖室122的第二支撐部320。移載至RC後,使用令HCD氣化而取得的HCD氣體作為含矽第一元素氣體(第一處理氣體),使用NH3氣體作為第三元素含有氣體(第三處理氣體),藉由交替供給該等,而在晶圓200上形成矽氮化(SiN)膜作為含矽膜。
(效果)
以上說明本發明的實施形態,以下列舉藉由本發明所導出的代表性的效果。
(a)即使為不同種類的基板,也可以一個的基板處理裝置對應。
(b)即是為不同種類的基板,也可使不會互相造成不良影響。
[本發明的第二實施形態]
第二實施形態是構成為搬送晶圓200L的鑷子的寬度要比水平方向的支撐機構311間的寬度n更小。除此以外 的點是與第一實施形態同樣。
圖9是說明構成為鑷子112a的寬度要比水平方向的支撐機構311間的寬度n更小時的效果的說明圖。在此是以鑷子112a為例進行說明。
作為鑷子112a拾取晶圓的方法,有使鑷子一度待機於晶圓下方,其次使鑷子上昇的方法。如此的方法時,鑷子需要待機。
由於為如此的情事,因此如圖11般將支撐機構311、支撐機構321設為分別連續的構造時,在各支撐機構間需要鑷子的待機空間。
相對於此,在本實施形態中,由於在高度方向交替多段配置支撐機構311及支撐機構321,因此如圖9記載般,可在支撐機構311R與支撐機構311L之間確保拾取晶圓200L時的第二鑷子(例如鑷子112a)待機的空間。
因此,相較於連續配置圖11那樣的支撐機構的情況,可縮小高度方向的容積。
[其他的實施形態]
以上,具體說明本發明的實施形態,但並非限於此,亦可在不脫離其要旨的範圍實施各種的變更。
例如,上述的各實施形態是舉在基板處理裝置所進行的成膜處理中,使用HCD氣體作為含矽第一元素氣體,使用O2氣體作為含矽第二元素氣體,藉由交替 供給該等來形成SiO膜於晶圓200上的情況為例,或藉由交替供給第一元素氣體及第三元素含有氣體來形成SiN膜於晶圓200上的例子,但本發明並非限於此。
亦即,使用在成膜處理的處理氣體是不限於HCD氣體或O2氣體等,即使使用其他種類的氣體來形成其他種類的薄膜也無妨。而且,使用3種類以上的處理氣體時,只要交替供給該等來進行成膜處理,便可適用本發明。具體而言,第一元素不是Si,例如亦可為鈦(Ti)、鋯(Zr)、鉿(Hf)等各種的元素。又,第二元素不是O,例如亦可為氮(N)等。並且,在晶圓200L及晶圓200S是將第一元素氣體設為同氣體,但並非限於此,亦可為完全相異性質的氣體。
又,例如,上述的各實施形態是舉成膜處理為例,作為基板處理裝置所進行的處理,但本發明並非限於此,亦即,本發明是除了在各實施形態所舉例的成膜處理以外,亦可適用在各實施形態所例示的薄膜以外的成膜處理。並且,基板處理的具體的內容不問,不僅成膜處理,亦可適用在進行退火處理、擴散處理、氧化處理、氮化處理、微影技術處理等的其他的基板處理時。而且,本發明亦可適用在其他的基板處理裝置,例如退火處理裝置、蝕刻裝置、氧化處理裝置、氮化處理裝置、曝光裝置、塗佈裝置、乾燥裝置、加熱裝置、利用電漿的處理裝置等的其他的基板處理裝置。又,本發明是該等的裝置亦可混在。又,亦可將某實施形態的構成的一部分置換成其 他的實施形態的構成,又,亦可在某實施形態的構成中加諸其他的實施形態的構成。又,有關各實施形態的構成的一部分亦可進行其他的構成的追加、削除、置換。
10‧‧‧基板處理裝置
100‧‧‧晶舟盒(FOUP)
101‧‧‧框體
103‧‧‧第1搬送室
105‧‧‧裝載埠
108‧‧‧晶舟盒開啟器
112‧‧‧第1晶圓移載機(第1搬送機構)
112a‧‧‧鑷子
115‧‧‧第1晶圓移載機昇降機
121‧‧‧第2搬送室
122、123‧‧‧加載互鎖室
124‧‧‧第2晶圓移載機(第2搬送機構)
124a‧‧‧鑷子
126、127‧‧‧閘閥
128、129‧‧‧閘閥
134‧‧‧基板搬入搬出口
150~153‧‧‧閘閥
200‧‧‧晶圓(基板)
280‧‧‧控制器
RCa~RCd‧‧‧第1~第4反應器

Claims (18)

  1. 一種基板處理裝置,係具備:加載互鎖室,其係具有支撐基板的第一支撐部及第二支撐部;第1搬送機構,其係具有從加載互鎖室的一方側搬送基板至加載互鎖室內外的鑷子;第2搬送機構,其係具有從加載互鎖室的另一方側搬送基板至加載互鎖室內外的鑷子;反應器,其係處理基板,其特徵為:前述第一支撐部,係具有:以和臂的進入方向直行的側的寬度為第一寬度分離,且以預定長度構成的第一支撐機構,前述第二支撐部,係具有:以比前述第一寬度更小的第二寬度分離,且以比前述第一支撐機構更短的長度構成的第二支撐機構。
  2. 如申請專利範圍第1項之基板處理裝置,其中,前述第一支撐機構及前述第二支撐機構係獨立構成。
  3. 如申請專利範圍第2項之基板處理裝置,其中,前述第一支撐機構係被配置於最上方。
  4. 如申請專利範圍第3項之基板處理裝置,其中,前述第一支撐機構及前述第二支撐機構,係於高度方向交替多段配置。
  5. 如申請專利範圍第4項之基板處理裝置,其中, 被構成為:一旦接到將第一種類的基板搬入至前述反應器的指示,則將前述第一種類的基板載置於前述第一支撐機構,再讀出第一處方,且一旦前述第一種類的基板被搬入至前述反應器,則進行前述第一處方的處理,一旦接到將第二種類的基板搬入至前述反應器的指示,則將前述第二種類的基板載置於前述第二支撐機構,再讀出第二處方,且一旦前述第二種類的基板被搬入至前述反應器,則進行前述第二處方的處理。
  6. 如申請專利範圍第3項之基板處理裝置,其中,被構成為:一旦接到將第一種類的基板搬入至前述反應器的指示,則將前述第一種類的基板載置於前述第一支撐機構,再讀出第一處方,且一旦前述第一種類的基板被搬入至前述反應器,則進行前述第一處方的處理,一旦接到將第二種類的基板搬入至前述反應器的指示,則將前述第二種類的基板載置於前述第二支撐機構,再讀出第二處方,且一旦前述第二種類的基板被搬入至前述反應器,則進行前述第二處方的處理。
  7. 如申請專利範圍第2項之基板處理裝置,其中,前述第一支撐機構及前述第二支撐機構,係於高度方向交替多段配置。
  8. 如申請專利範圍第7項之基板處理裝置,其中,被構成為: 一旦接到將第一種類的基板搬入至前述反應器的指示,則將前述第一種類的基板載置於前述第一支撐機構,再讀出第一處方,且一旦前述第一種類的基板被搬入至前述反應器,則進行前述第一處方的處理,一旦接到將第二種類的基板搬入至前述反應器的指示,則將前述第二種類的基板載置於前述第二支撐機構,再讀出第二處方,且一旦前述第二種類的基板被搬入至前述反應器,則進行前述第二處方的處理。
  9. 如申請專利範圍第2項之基板處理裝置,其中,被構成為:一旦接到將第一種類的基板搬入至前述反應器的指示,則將前述第一種類的基板載置於前述第一支撐機構,再讀出第一處方,且一旦前述第一種類的基板被搬入至前述反應器,則進行前述第一處方的處理,一旦接到將第二種類的基板搬入至前述反應器的指示,則將前述第二種類的基板載置於前述第二支撐機構,再讀出第二處方,且一旦前述第二種類的基板被搬入至前述反應器,則進行前述第二處方的處理。
  10. 如申請專利範圍第1項之基板處理裝置,其中,前述第一支撐機構,係被配置於最上方。
  11. 如申請專利範圍第10項之基板處理裝置,其中,前述第一支撐機構及前述第二支撐機構,係於高度方向交替多段配置。
  12. 如申請專利範圍第11項之基板處理裝置,其 中,被構成為:一旦接到將第一種類的基板搬入至前述反應器的指示,則將前述第一種類的基板載置於前述第一支撐機構,再讀出第一處方,且一旦前述第一種類的基板被搬入至前述反應器,則進行前述第一處方的處理,一旦接到將第二種類的基板搬入至前述反應器的指示,則將前述第二種類的基板載置於前述第二支撐機構,再讀出第二處方,且一旦前述第二種類的基板被搬入至前述反應器,則進行前述第二處方的處理。
  13. 如申請專利範圍第10項之基板處理裝置,其中,被構成為:一旦接到將第一種類的基板搬入至前述反應器的指示,則將前述第一種類的基板載置於前述第一支撐機構,再讀出第一處方,且一旦前述第一種類的基板被搬入至前述反應器,則進行前述第一處方的處理,一旦接到將第二種類的基板搬入至前述反應器的指示,則將前述第二種類的基板載置於前述第二支撐機構,再讀出第二處方,且一旦前述第二種類的基板被搬入至前述反應器,則進行前述第二處方的處理。
  14. 如申請專利範圍第1項之基板處理裝置,其中,前述第一支撐機構及前述第二支撐機構,係於高度方向交替多段配置。
  15. 如申請專利範圍第14項之基板處理裝置,其中,被構成為: 一旦接到將第一種類的基板搬入至前述反應器的指示,則將前述第一種類的基板載置於前述第一支撐機構,再讀出第一處方,且一旦前述第一種類的基板被搬入至前述反應器,則進行前述第一處方的處理,一旦接到將第二種類的基板搬入至前述反應器的指示,則將前述第二種類的基板載置於前述第二支撐機構,再讀出第二處方,且一旦前述第二種類的基板被搬入至前述反應器,則進行前述第二處方的處理。
  16. 如申請專利範圍第1項之基板處理裝置,其中,被構成為:一旦接到將第一種類的基板搬入至前述反應器的指示,則將前述第一種類的基板載置於前述第一支撐機構,再讀出第一處方,且一旦前述第一種類的基板被搬入至前述反應器,則進行前述第一處方的處理,一旦接到將第二種類的基板搬入至前述反應器的指示,則將前述第二種類的基板載置於前述第二支撐機構,再讀出第二處方,且一旦前述第二種類的基板被搬入至前述反應器,則進行前述第二處方的處理。
  17. 一種半導體裝置的製造方法,其特徵係具有:藉由具有具備搬送基板的鑷子的第1搬送臂之第1搬送機構來從加載互鎖室的一方側,將基板載置於前述加載互鎖室中之具有以和前述第1搬送臂的進入方向直行的側的寬度為第1寬度分離且以預定長度構成的第1支撐機構之第1支撐部,或具有以比前述第1寬度更小的第2寬度 分離且以比前述第1支撐機構更短的長度構成的第2支撐機構之第2支撐部的工程;藉由具有具備鑷子的第2搬送臂之第2搬送機構來從前述加載互鎖室的另一方側搬出前述基板,且將基板搬入至反應器的工程;及在前述反應器處理前述基板的工程。
  18. 一種程式,其特徵為藉由電腦來使下列處理實行於基板處理裝置,藉由具有具備搬送基板的鑷子的第1搬送臂之第1搬送機構來從加載互鎖室的一方側,將基板載置於前述加載互鎖室中之具有以和前述第1搬送臂的進入方向直行的側的寬度為第1寬度分離且以預定長度構成的第1支撐機構之第1支撐部,或具有以比前述第1寬度更小的第2寬度分離且以比前述第1支撐機構更短的長度構成的第2支撐機構之第2支撐部的處理;藉由具有具備鑷子的第2搬送臂之第2搬送機構來從前述加載互鎖室的另一方側搬出前述基板,且將基板搬入至反應器的處理;及在前述反應器處理前述基板的處理。
TW106104115A 2016-12-02 2017-02-08 基板處理裝置、半導體裝置的製造方法、程式 TWI612612B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2016-234952 2016-12-02
JP2016234952A JP2018093045A (ja) 2016-12-02 2016-12-02 基板処理装置、半導体装置の製造方法、プログラム

Publications (2)

Publication Number Publication Date
TWI612612B true TWI612612B (zh) 2018-01-21
TW201822294A TW201822294A (zh) 2018-06-16

Family

ID=61728492

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106104115A TWI612612B (zh) 2016-12-02 2017-02-08 基板處理裝置、半導體裝置的製造方法、程式

Country Status (5)

Country Link
US (1) US20180158714A1 (zh)
JP (1) JP2018093045A (zh)
KR (1) KR20180063784A (zh)
CN (1) CN108155137A (zh)
TW (1) TWI612612B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6691152B2 (ja) * 2018-02-07 2020-04-28 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040062627A1 (en) * 2002-09-27 2004-04-01 Ravinder Aggarwal System for the improved handling of wafers within a process tool
TW200901297A (en) * 2007-03-16 2009-01-01 Tokyo Electron Ltd Substrate processing apparatus, substrate processing method and recording medium

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH04179212A (ja) * 1990-11-14 1992-06-25 Hitachi Ltd 半導体製造装置
KR0135049B1 (ko) * 1994-05-31 1998-04-20 양승택 반도체 제조장비의 웨이퍼 장착 카세트
US6092981A (en) * 1999-03-11 2000-07-25 Applied Materials, Inc. Modular substrate cassette
JP3676983B2 (ja) 2000-03-29 2005-07-27 株式会社日立国際電気 半導体製造方法、基板処理方法、及び半導体製造装置
KR20060106544A (ko) * 2005-04-08 2006-10-12 삼성전자주식회사 표시 패널용 글라스를 적재하기 위한 글라스 카세트
JP2006293257A (ja) * 2005-04-08 2006-10-26 Samsung Electronics Co Ltd 表示パネル用ガラスを積載するためのガラスカセット
JP4744426B2 (ja) * 2006-12-27 2011-08-10 大日本スクリーン製造株式会社 基板処理装置および基板処理方法
KR100978853B1 (ko) * 2008-06-11 2010-08-31 세메스 주식회사 기판 이송 장치 및 그의 사이드 롤러 구동 방법
KR20100019039A (ko) * 2008-08-08 2010-02-18 에이피시스템 주식회사 다양한 크기의 기판을 합착하는데 적합한 기판합착장치
JP2012195562A (ja) * 2011-02-28 2012-10-11 Hitachi Kokusai Electric Inc 異径基板用アタッチメントおよび基板処理装置ならびに基板若しくは半導体デバイスの製造方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040062627A1 (en) * 2002-09-27 2004-04-01 Ravinder Aggarwal System for the improved handling of wafers within a process tool
TW200901297A (en) * 2007-03-16 2009-01-01 Tokyo Electron Ltd Substrate processing apparatus, substrate processing method and recording medium

Also Published As

Publication number Publication date
CN108155137A (zh) 2018-06-12
TW201822294A (zh) 2018-06-16
KR20180063784A (ko) 2018-06-12
JP2018093045A (ja) 2018-06-14
US20180158714A1 (en) 2018-06-07

Similar Documents

Publication Publication Date Title
TWI644380B (zh) Substrate processing apparatus, manufacturing method of semiconductor device, and recording medium
US11203488B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device and non-transitory computer-readable recording medium
TWI633578B (zh) Substrate processing apparatus, manufacturing method and program of semiconductor device
US9023429B2 (en) Method of manufacturing semiconductor device and substrate processing apparatus
US9695509B2 (en) Substrate processing apparatus, purging apparatus, method of manufacturing semiconductor device, and recording medium
JP4642619B2 (ja) 基板処理システム及び方法
US9875920B1 (en) Substrate processing apparatus
CN107851594B (zh) 基板处理装置以及半导体装置的制造方法
US11104992B2 (en) Substrate processing apparatus, non-transitory computer-readable recording medium thereof and semiconductor manufacturing method by employing thereof
TW201615881A (zh) 基板處理裝置,半導體裝置之製造方法及記錄媒體
US11314234B2 (en) Substrate processing apparatus, method of manufacturing semiconductor device, and recording medium
TW201724393A (zh) 基板處理裝置、半導體裝置之製造方法及記錄程式之記錄媒體
KR20180111592A (ko) 기판 처리 장치
JP2016004834A (ja) 真空処理装置
JP5614352B2 (ja) ローディングユニット及び処理システム
TWI612612B (zh) 基板處理裝置、半導體裝置的製造方法、程式
KR20190108482A (ko) 기판 처리 장치, 기판 처리 시스템, 반도체 장치의 제조 방법 및 기록 매체
TW202114026A (zh) 基板處理裝置和半導體裝置的製造方法
US10763137B2 (en) Substrate processing apparatus and method of manufacturing semiconductor device
JP6994060B2 (ja) 基板処理装置、半導体装置の製造方法およびプログラム
TWI797727B (zh) 基板處理裝置、半導體裝置之製造方法及程式
JPWO2018055699A1 (ja) 基板処理装置、半導体装置の製造方法およびプログラム