CN101535524B - 进行等离子体增强原子层沉积的方法和系统 - Google Patents

进行等离子体增强原子层沉积的方法和系统 Download PDF

Info

Publication number
CN101535524B
CN101535524B CN2006800513580A CN200680051358A CN101535524B CN 101535524 B CN101535524 B CN 101535524B CN 2006800513580 A CN2006800513580 A CN 2006800513580A CN 200680051358 A CN200680051358 A CN 200680051358A CN 101535524 B CN101535524 B CN 101535524B
Authority
CN
China
Prior art keywords
film
substrate
space
processes
treatment chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006800513580A
Other languages
English (en)
Other versions
CN101535524A (zh
Inventor
雅克·法戈特
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Tokyo Electron Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Tokyo Electron Ltd filed Critical Tokyo Electron Ltd
Publication of CN101535524A publication Critical patent/CN101535524A/zh
Application granted granted Critical
Publication of CN101535524B publication Critical patent/CN101535524B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本发明提供了一种在衬底上进行气相沉积的方法、计算机可读介质和系统,包括:将气态膜前驱体引入处理空间;将所述处理空间的体积从第一体积增至第二体积以形成增大的处理空间;将还原气体引入所述增大的处理空间;由所述还原气体形成还原等离子体。用于气相沉积的系统包括具有第一处理空间和第二处理空间的处理室,第二处理空间包括第一处理空间并且具有大于第一体积的第二体积。第一处理空间被配置用于原子层沉积,第二处理空间被配置用于将在第一处理空间沉积的层等离子体还原。

Description

进行等离子体增强原子层沉积的方法和系统
相关申请的交叉引用
本申请与下列专利文献相关,并通过引用将其全部内容结合在本申请中:
US 11/090255,代理人档案号No.267366US,客户参考号No.TTCA19,名称为“A PLASMA ENHANCED ATOMIC LAYER DEPOSITIONSYSTEM”,现为美国专利申请公开号No.2004VVVVVVVVVV;
US 11/084176,代理人档案号No.265595US,客户参考号No.TTCA24,名称为“A DEPOSITION SYSTEM AND METHOD”,现为美国专利申请公开号No.2004VVVVVVVVVV;
US XX/XXXXXX,客户参考号No.TTCA 27,名称为“A PLASMAENHANCED ATOMIC LAYER DEPOSITION SYSTEM HAVINGREDUCED CONTAMINATION”,现为美国专利申请公开号No.2004VVVVVVVVVV;
US XX/XXXXXX,代理人档案号No.2274020US,客户参考号No.TTCA 54,名称为“METHOD AND SYSTEM FOR PERFORMINGDIFFERENT DEPOSITION PROCESSES WITHIN A SINGLECHAMBER”,现为美国专利申请公开号No.2006VVVVVVVVVV。
技术领域
本发明涉及一种沉积系统及其操作方法,更具体地涉及一种用于原子层沉积的沉积系统。
背景技术
一般来说,在材料处理期间,当制作复合材料结构时采用等离子体来促进材料膜的添加和去除。例如,在半导体处理中,干法等离子体刻蚀工艺常被用于沿在硅衬底上图案化的精细的线或在过孔或触点内去除或刻蚀材料。或者,例如,气相沉积工艺被用于沿精细的线或在硅衬底上的过孔或触点内沉积材料。在后者中,气相沉积工艺包括化学气相沉积(CVD)和等离子体增强化学气相沉积(PECVD)。
在PECVD中,等离子体被用于改变或增强膜沉积机制。例如,等离子体激发通常允许在明显低于通过热CVD工艺制造类似的膜一般所需的温度的温度下进行膜形成反应,该热CVD工艺将处理气体(无等离子体激发)加热至接近或高于工艺气体的解离温度。另外,等离子体激发可以活化在热CVD中在能量或动力学方面并不有利的膜形成化学反应。因而,PECVD膜的化学和物理性质可以通过调节工艺参数在相对较宽的范围内变化。
近年来,原子层沉积(ALD)和等离子体增强ALD(PEALD)已经作为用于在生产线前端(FEOL)操作中的超薄栅极膜形成以及用于生产线后端(BEOL)操作中的金属化的超薄阻挡层和种子层形成的候选工艺。在ALD中,两种或更多种处理气体(例如膜前驱体和还原气体)在加热的同时被交替且顺序地引入,以便按一次一单层的方式形成材料膜。在PEALD中,引入还原气体时形成等离子体以形成还原等离子体。目前,ALD和PEALD工艺已被证明能提供在层厚度方面改善的均匀性,以及与其上沉积层的特征的保形性,尽管这些工艺与对应的CVD和PECVD工艺相比较为缓慢。
发明内容
本发明的一个目的涉及解决半导体加工在线宽越来越小而保形性、粘附性和纯度成为影响所得半导体器件的越来越重要的因素的条件下产生的各种问题。
本发明的另一个目的是减少后续沉积的材料层界面间的污染问题。
本发明的另一个目的是在同一系统中提供一种与原子层沉积和等离子体增强还原相容的配置。
本发明的上述和/或其它目的的变化通过本发明的特定实施方式提供。
本发明的一种实施方式提供了一种处理衬底的方法,该方法包括:将衬底放置在气相沉积系统中,所述气相沉积系统具有在所述衬底上方限定的处理空间;将气态膜前驱体引入所述处理空间;将所述处理空间的体积从第一体积增加至第二体积,形成增大的处理空间;将还原气体引入所述增大的处理空间;由所述还原气体形成还原等离子体。
本发明的另一种实施方式提供了一种用于在衬底上进行薄膜气相沉积的系统,该系统包括具有第一处理空间的处理室,所述第一处理空间具有第一体积。所述处理室还包括第二处理空间,所述第二处理空间包括所述第一处理空间并具有大于所述第一体积的第二体积。第一处理空间被配置用于吸附膜前驱体,第二处理空间被配置为对在第一处理空间中吸附的膜前驱体进行等离子体还原。
附图说明
通过以下附图,可以容易地获得关于本发明及其许多附加优点的更完整的评价。通过下面的详细描述并结合附图,可以更好地理解本发明及其附加优点。
图1示出了根据本发明的一种实施方式的沉积系统的示意图;
图2示出了根据本发明的一种实施方式的图1的沉积系统的示意图,其中示出了增大的处理空间;
图3示出了根据本发明的另一种实施方式的沉积系统的示意图;
图4示出了根据本发明的一种实施方式的图3的沉积系统的示意图,其中示出了增大的处理空间;
图5示出了根据本发明的一种实施方式的用于图1-4的沉积系统的示意性时序图;
图6示出了根据本发明的一种实施方式的工艺的工艺流程图。
具体实施方式
在下面的描述中,为了帮助对本发明的全面理解并且出于说明而非限制的目的,阐述了具体细节,例如沉积系统的特定几何形状以及各种部件的描述。然而,应当理解,在脱离这些具体细节的其他实施方式中也可实施本发明。
现在参考附图,附图中相似的标号在所有附图中指代相同或相应的部件,图1示出了例如使用等离子体增强原子层沉积(PEALD)工艺在衬底上沉积薄膜(例如阻挡膜)的沉积系统1。在生产线后端(BEOL)操作中,半导体器件的互连和内连结构的金属化期间,薄的保形阻挡层可以沉积在线路沟槽或过孔上以使金属到层间或层内电介质中的迁移最小化,薄的保形种子层可以沉积在线路沟槽或过孔上以提供对于主体金属填充具有可接受的粘附性质的膜,且/或薄的保形粘附层可以沉积在线路沟槽或过孔上以提供对于金属种子沉积具有可接受的粘附性质的膜。除这些工艺以外,必须在线路沟槽或过孔内沉积例如铜的主体金属。
这些工艺过去通常需要独立的室来适应每种工艺的具体要求,因为单个室无法满足所有的工艺需要。例如,为了提供可接受的保形性,优选通过自限制ALD工艺沉积薄膜阻挡层。由于ALD需要变换不同的处理气体,因此在较低的沉积速率下进行沉积。本发明人已认识到,在小处理空间中进行热ALD工艺可加快气体注入和更换气体的排空,这缩短了ALD循环。然而,当进行等离子体增强ALD时,由于衬底与上部组件间距较近,等离子体的均匀性因处理空间体积小而变差。本发明人已认识到,PEALD工艺中的非等离子体步骤可受益于小处理空间体积,从而提高处理量和/或保护处理气体,而为了在PEALD工艺的等离子体辅助还原步骤期间维持均匀的等离子体,需要较大的处理空间体积。
在图1中,根据本发明的一种实施方式的沉积系统1包括具有衬底支架20的处理室10,衬底支架20被配置为支撑其上将沉积薄膜的衬底25。另外,图1所示的沉积系统1包括与处理室10和衬底支架20耦合的处理体积调节系统80,处理体积调节系统80被配置为调节与衬底25相邻的处理空间的体积。例如,处理体积调节系统80可被配置成在形成具有第一体积的第一处理空间85的第一位置(见图1)与形成具有第二体积的第二处理空间85’的第二位置(见图2)之间垂直移动衬底支架20。
如图1和2所示,沉积系统1可包括与衬底支架20耦合并被配置成升高和控制衬底25温度的衬底温度控制系统60。衬底温度控制系统60可包括温度控制元件,例如包括再循环冷却剂流的冷却系统,这种再循环冷却剂流从衬底支架20接收热量并将热量传输到热交换器系统(未示出),或者在加热时传输来自热交换器系统的热量。另外,温度控制元件可包括加热/冷却元件,例如电阻性加热元件或热电加热器/冷却器,这些元件可以被包括在衬底支架20以及工艺室10的室壁和沉积系统1内的任何其他部件中。
为了改善衬底25和衬底支架20之间的热传输,衬底支架20可包括机械夹紧系统或电夹紧系统(例如静电夹紧系统),以将衬底25附着到衬底支架20的上表面。此外,衬底支架20还可包括衬底背面气体传输系统,该系统被配置为将气体引入到衬底25的背面,以提高衬底25和衬底支架20之间的气体间隙热导。这种系统可以用在当升高或降低温度时需要对衬底进行温度控制的情况下。例如,衬底背面气体系统可包括两区气体分配系统,其中氦气间隙压强可以在衬底25的中心和边缘之间独立变化。
衬底支架20与平移衬底支架的真空机构和衬底温度控制系统60的内部机构一起构成了处理室10的下部室组件。
处理室10还可包括与第一处理材料气体供应系统40、第二处理材料气体供应系统42和净化气体供应系统44耦合的上部室组件30。因此,上部室组件30可通过第一处理材料气体供应系统40和第二处理材料气体供应系统42分别向处理空间85和85’提供气态膜前驱体和还原气体。可以使用本领域已知的喷淋头设计来将第一和第二处理气体材料均匀地分配到处理空间85中。示例性的喷淋头更详细地描述在待审的美国专利申请No.20040123803中,通过引用将其全部内容结合于此,并在此之前通过引用结合US 11/090255。
沉积系统1可被配置为处理200mm衬底、300mm衬底或更大尺寸的衬底。事实上,可以预期沉积系统可被配置为处理任意尺寸的衬底、晶片或LCD,本领域技术人员将意识到这一点。可将衬底引入处理室10,并通过衬底升降装置(未示出)将衬底移至衬底支架20的上表面以及从衬底支架20的上表面移走。
根据本发明的一种实施方式,第一处理材料气体供应系统40和第二处理材料气体供应系统42可被配置为交替地将气态膜前驱体(即第一处理气体材料)和还原气体(即第二处理气体材料)引入处理室10。引入第一处理气体材料与引入第二处理气体材料的交替可以是循环的,或者可以是非循环的,即在第一和第二处理气体材料的引入之间有可变时间段。作为气态膜前驱体的第一处理气体材料的组成可包含形成在衬底25上的膜中存在的基本原子或分子物质。膜前驱体可以最初为固相、液相或气相,并可以以气相输送到处理室10中。第二处理气体材料可例如包括还原气体。还原气体可以最初为固相、液相或气相,并可以以气相输送到处理室10中。下面给出气态膜前驱体和还原气体的示例。
第一材料供应系统140、第二材料供应系统142和净化气体供应系统144可包括一个或更多个材料源142、一个或更多个压强控制装置、一个或更多个流量控制装置、一个或更多个过滤器、一个或更多个阀或者一个或更多个流量传感器。流量控制装置可包括气压驱动阀、电-机械(电磁)阀和/或高速率脉冲气体注入阀。示例性的脉冲气体注入系统在待审美国专利申请No.20040123803中有更详细的描述,通过引用将其整体结合于此。
仍然参考图1,本发明的一种实施方式中的沉积系统1包括等离子体生成系统,该系统被配置为在向处理室10交替引入第一处理气体材料和第二处理气体材料的至少一部分期间生成等离子体。等离子体生成系统可包括耦合到处理室10的第一功率源50,第一功率源50被配置为将功率耦合到第一处理气体材料和/或第二处理气体材料。第一功率源50可包括射频(RF)发生器和阻抗匹配网络(未示出),还可包括电极(未示出),RF功率通过该电极耦合到处理室10中的等离子体。电极可以形成在上部组件30中,并可以被配置为与衬底支架20相对。
阻抗匹配网络可以被配置为用于优化从RF发生器到等离子体的RF功率的传输,这是通过将匹配网络的输出阻抗与处理室(包括电极和等离子体)的输入阻抗相匹配而实现的。例如,阻抗匹配网络用来通过减小反射功率来提高到等离子体处理室10中的等离子体的RF功率的传输。匹配网络拓扑(例如,L型、π型、T型等)和自动控制方法对于本领域技术人员来说是公知的。用于RF功率的典型频率可为约0.1-100MHz。或者,RF频率可例如为约400kHz-约60MHz。另外,RF频率可例如为约13.56或27.12MHz。
本发明的一种实施方式中的沉积系统1可包括衬底偏压生成系统,该系统被配置为在向处理室10交替和循环引入第一处理气体材料和第二处理气体材料的至少一部分期间生成等离子体。衬底偏压系统可包括耦合到工艺室10并且被配置为将功率耦合到衬底25的第二功率源52。第二功率源52可包括射频(RF)发生器和阻抗匹配网络,还可包括电极,RF功率通过该电极耦合到衬底25。电极可以形成在衬底支架20中。例如,衬底支架20可以被DC电压电偏置或经由从RF发生器(未示出)通过阻抗匹配网络(未示出)发送到衬底支架20的RF功率被电偏置在某一RF电压。RF偏压的典型频率可为约0.1-100MHz。用于等离子体处理的RF偏压系统对于本领域技术人员来说是公知的。或者,RF功率以多个频率被施加到衬底支架电极。或者,RF频率可例如为约400kHz-约60MHz。另外,RF频率可例如为约13.56或27.12MHz。衬底偏压生成系统可在与等离子体生成系统不同或相同的频率下操作。
尽管等离子体生成系统和衬底偏压系统在图1中示为分离的实体,但是它们实际上可包括耦合到衬底支架20的一个或更多个功率源。
此外,处理室10还通过导管38耦合到包括例如真空泵浦系统34和阀36的压强控制系统32。压强控制系统32被配置为可控地将处理室10抽空到适合于在衬底25上形成薄膜并且适合于使用第一和第二处理材料的压强。
真空泵浦系统34可包括泵浦速度能高达约5000升每秒(以及更大)的涡轮分子真空泵(TMP),阀36可包括用于节流室压强的门阀。在传统的用于干法等离子体刻蚀的等离子体处理设备中,通常采用1000到3000升每秒的TMP。而且,用于监视室压强的设备(未示出)可以耦合到处理室110。压强测量设备可以例如是可从MKS Instruments Inc.(Andover,MA)购得的628B型Baratron绝对电容压力计。
现在参考图3和4,图中示出了根据本发明的另一种实施方式的使用等离子体增强原子层沉积(PEALD)在衬底上沉积薄膜(例如阻挡膜)的沉积系统1’。沉积系统1’包括许多与图1和2中所示的沉积系统1相同的特征,其中类似的标号代表类似的部件。沉积系统1’还包括被配置为包围图3中的处理空间85或图4中的处理空间85’的周边的护罩24。衬底支架20还可包括外凸缘22,外凸缘22被配置为当衬底支架20上移形成处理空间85’时与护罩24耦合。例如,外凸缘22可被设置为与护罩24形成密封。为了排空处理空间85’,护罩24可被配置为允许处理气体穿过(作为带孔护罩)。如果护罩24不被配置为允许排空处理室85’,则可使用与真空泵浦系统34相似的单独的真空泵浦系统35来排空处理空间85’。
图3和4中所示的护罩24可具有多种用途。护罩24可提供一个简化的柱形几何结构,在该几何结构中可以更可靠地预测或控制处理空间85或85’中气体流量。通过在护罩的预定位置上开孔(即带孔护罩),可以控制流量。类似地,护罩24可以提供最接近等离子体边缘的地线的对称路径,这可提供能更可靠地预测或控制的均匀等离子体。此外,护罩24可以是收集在处理室10内壁上正常积累的沉积物的可更换单元。因此,可在日常维护时更换护罩24,并且延迟需要对处理室10内壁进行清洁的时间。
现在参考图5,沉积系统1或1’可被配置为进行等离子增强原子层沉积(PEALD)工艺,其中膜前驱体和还原气体被顺序和交替地引入以在衬底上形成薄膜。例如,在制备含钽膜的PEALD工艺中,膜前驱体可包括金属卤化物(例如五氯化钽)  或金属有机物(例如Ta(NC(CH3)2C2H5)(N(CH3)2)3,下文中称为;关于额外的细节,请参见美国专利No.6593484)。在此示例中,还原气体可包括氢气或氨(NH3)、N2和H2、N2H4、NH(CH3)2或N2H3CH3
在第一时间段向处理室10引入膜前驱体,以使膜前驱体吸附在衬底25的暴露表面上。优选地,发生材料的单层吸附。然后,如图5所示,在第二时间段,用净化气体将处理室10净化。在膜前驱体吸附到衬底25上以后,在第三时间段将还原气体引入处理室10,同时通过例如上部组件30将功率从第一功率源50耦合到还原气体。耦合到还原气体的功率对还原气体进行加热,以使还原气体离子化和解离,从而形成例如原子氢的解离物质,解离物质可与被吸附的Ta膜前驱体反应,将被吸附的Ta膜前驱体还原,从而形成期望的含Ta膜。
在一个实施例中,在图5所示的第一工艺期间,可使用PEALD工艺来沉积钽(Ta)、氮化钽或碳氮化钽,然后暴露于还原气体,其中Ta前驱体例如是TaF5、TaCl5、TaBr5、TaI5、Ta(CO)5、Ta[N(C2H5CH3)]5(PEMAT)、Ta[N(CH3)2]5(PDMAT)、Ta[N(C2H5)2]5(PDEAT)、Ta(NC(CH3)3)(N(C2H5)2)3(TBTDET)、Ta(NC2H5)(N(C2H5)2)3、Ta(NC(CH3)2C2H5)(N(CH3)2)3或Ta(NC(CH3)3)(N(CH3)2)3,还原气体例如是H2、NH3、N2和H2、N2H4、NH(CH3)2或N2H3CH3。在本发明的PEALD工艺中,还原气体是被等离子体活化的。
在本发明的PEALD工艺的另一个实施例中,当沉积钛(Ti)、氮化钛或碳氮化钛时,膜前驱体可包括TiF4、TiCl4、TiBr4、TiI4、Ti[N(C2H5CH3)]4(TEMAT)、Ti[N(CH3)2]4(TDMAT)或Ti[N(C2H5)2]4(TDEAT),还原气体可包括H2、NH3、N2和H2、N2H4、NH(CH3)2或N2H3CH3
作为PEALD工艺的另一个实施例,当沉积钨(W)、氮化钨或碳氮化钨时,膜前驱体可包括WF6或W(CO)6,还原气体可包括H2、NH3、N2和H2、N2H4、NH(CH3)2或N2H3CH3
在本发明的PEALD工艺的另一个实施例中,当沉积钼(Mo)时,膜前驱体可包括六氟化钼(MoF6),还原气体可包括H2
当在ALD工艺中沉积铜时,膜前驱体可包括有机金属化合物和无机化合物,有机金属化合物例如是Cu(TMVS)(hfac),商品名也称为
Figure S2006800513580D00091
,其可以从作为Air Products and Chemicals Inc.(1969Palomar Oaks Way,Carlsbad,Calif.92009)的一个单位的Schumacher得到,无机化合物例如是CuCl。还原气体可包括H2、O2、N2、NH3或H2O中的至少一种。本文所用的术语“A、B、C...或X中的至少一种”指所列举元素中的任何一种或者所列举元素中多于一种的任何组合。
在本发明的PEALD工艺的另一个实施例中,当沉积ZrO2时,膜前驱体可包括Zr(NO3)4或ZrCl4,还原气体可包括H2O。
当在本发明的PEALD工艺中沉积氧化铪时,膜前驱体可包括Hf(OBut)4、Hf(NO3)4或HfCl4,还原气体可包括H2O。在另一个实施例中,当沉积铪(Hf)时,膜前驱体可包括HfCl4,还原气体可包括H2
在本发明的PEALD工艺的另一个实施例中,当沉积铌(Nb)时,膜前驱体可包括五氯化铌(NbCl5),还原气体可包括H2
在本发明的PEALD工艺的另一个实施例中,当沉积锌(Zn)时,膜前驱体可包括二氯化锌(ZnCl2),还原气体可包括H2
在本发明的PEALD工艺的另一个实施例中,当沉积氧化硅时,膜前驱体可包括Si(OC2H5)4、SiH2Cl2、SiCl4或Si(NO3)4,还原气体可包括H2O或O2。在另一个实施例中,当沉积氮化硅时,膜前驱体可包括SiCl4或SiH2Cl2,还原气体可包括NH3或N2和H2。在另一个实施例中,当沉积TiN时,膜前驱体可包括硝酸钛(Ti(NO3)),还原气体可包括NH3
在ALD工艺的另一个实施例中,当沉积铝时,膜前驱体可包括氯化铝(Al2Cl6)或三甲基铝(Al(CH3)3),还原气体可包括H2。当沉积氮化铝时,膜前驱体可包括三氯化铝或三甲基铝,还原气体可包括NH3或N2和H2。在另一个实施例中,当沉积氧化铝时,膜前驱体可包括氯化铝或三甲基铝,还原气体可包括H2O或O2和H2
在本发明的PEALD工艺的另一个实施例中,当沉积GaN时,膜前驱体可包括硝酸镓(Ga(NO3)3)或三甲基镓(Ga(CH3)3),还原气体可包括NH3
此外,在上述PEALD工艺中,处理体积可在第一体积(V1)与第二体积(V2)之间变化,其中第一体积(V1)是在第一时间段引入第一处理气体材料和可选地在第二时间段引入净化气体期间,第二体积(V2)是在第三时间段引入第二处理气体材料和可选地在第四时间段引入净化气体期间。针对PEALD工艺的每个工艺步骤,可以选择处理空间的最佳体积(V1,V2)。
例如,第一体积(V1)可以足够小,以使第一处理气体材料通过处理空间并使一部分第一处理气体材料吸附在衬底表面上。随着处理空间的第一体积减小,吸附在衬底上所需的第一处理气体材料的量减少,并且在第一处理空间内交换第一处理气体材料所需的时间减少。例如,随着处理空间的第一体积减小,停留时间减少,因而允许第一时间段缩短。
此外,例如,第二体积(V2)可被设置为一定体积,在该体积中,由第二处理材料形成等离子体,可在衬底上方形成均匀的等离子体。当处理包括半导体晶圆的衬底时,处理空间基本上为圆柱体,该圆柱体以直径(D)以及衬底与上部组件之间的间距或高度(h)来表征。直径与衬底尺寸有关,而间距(或高度)可为用于调节处理空间体积的可变参数。对于均匀的等离子体,衬底(或体积)直径与间距之比(即径高比D/h)可小于或等于约10,优选小于约5。例如,随着径高比增大,观察到等离子体均匀度变差,而随着径高比减小,观察到等离子体均匀度改善。例如,当用等离子体在200mm的衬底上沉积膜时,间距h应为约20mm或更大。
例如,引入第一处理材料时的第一体积的间距(从衬底支架20到上部组件30)对于200mm的衬底可为小于或等于20mm(或对于300mm的衬底可为小于或等于30mm),引入第二处理材料时的第二体积的间距对于200mm的衬底可大于20mm(或对于300mm的衬底可大于或等于30mm)。
图6示出了根据本发明的一种实施方式的工艺的流程图。图6的工艺可通过图1-4的处理系统或任何合适的处理系统来进行。如图6所示,在步骤610中,工艺开始于将衬底放置在具有衬底上方限定的处理空间的气相沉积系统中。在步骤620中,将气态膜前驱体引入处理空间。在步骤630中,处理空间的体积从第一体积增加到第二体积以形成增大的处理空间。在步骤640中,将还原气体引入增大的处理空间。在步骤650中,由还原气体形成还原等离子体。
此外,在本发明的一种实施方式中,上述步骤可随工艺的进行而返向和重复。例如,还原等离子体可被消除,处理空间的体积可从第二体积减小到第一体积,然后可以重复步骤610-640。
在步骤650中,可以通过施加频率为0.1-100MHz的RF能量来形成等离子体。在本发明的一个方面,在形成等离子体之前,增大处理空间的体积以使条件更有利于形成均匀的等离子体。因此,在步骤630中,衬底支架可移动到改善第二气相沉积工艺的等离子体均匀度的位置。例如,衬底支架可被设定在一个位置,在该位置上,等离子体的均匀度在整个直径200mm的衬底支架上优于2%,或在整个直径200mm的衬底支架上优于1%。例如,例如,衬底支架可被设定在一个位置,在该位置上,等离子体的均匀度在整个直径300mm的衬底支架上优于2%,或在整个直径300mm的衬底支架上优于1%。
在步骤650中,还原等离子体的功率密度可小于10W/cm2,优选小于1W/cm2。还原等离子体的持续时间可小于20s,优选小于5秒。例如,参考图1-4,可以通过上部组件30将200-300W的射频(RF)功率耦合到还原气体来形成还原等离子体。
在步骤620中,气态膜前驱体被引入衬底上方被护罩包围的区域。在本发明的一种实施方式中,该护罩可被穿孔,以使气态膜前驱体由于被泵抽吸通过带孔护罩而被排空。如果护罩不具有孔,则可以独立地抽空处理空间内部。
在步骤610-640中,沉积的膜可以是金属、金属氧化物、金属氮化物、金属硅化物或金属碳氮化物中的至少一种膜。例如,膜可以包括钽膜、氮化钽膜或碳氮化钽膜中的至少一种。在步骤610-640中,沉积的膜可以是Al膜、Cu膜、Zn膜、金属硅化物膜或含锗膜的至少一种,或者是独立沉积的这些膜的任意组合或合金。在步骤610-640中,沉积的膜可以是氧化锆膜、氧化铪膜、氧化硅膜、氮化硅膜、氮化钛膜或GaN膜或这些膜的任意组合。
在步骤650中,对衬底提供衬底偏压。例如,衬底偏压可以是DC电压和/或频率为0.1-100MHz的RF电压。在步骤660之前,电磁功率可耦合到气相沉积系统,以生成促进第一膜的表面处的还原反应过程的等离子体。
此外,在引入膜前驱体之后可以引入净化气体。而且,无论存在净化气体与否,可将电磁功率耦合到气相沉积系统以使污染物从气相沉积系统和/或衬底上脱除。电磁功率可以以等离子体、紫外光和激光的形式耦合到气相沉积系统中。
如图1-4所示,沉积系统1和1’包括控制器70,控制器70可以耦合到处理室10、衬底支架20、上部组件30、第一处理材料供应系统40、第二处理材料供应系统42、净化气体供应系统44、第一功率源50、衬底温度控制器60和/或处理体积调节系统80。
控制器70可包括微处理器、存储器和能够生成控制电压的数字I/O端口,该控制电压足以传输并激活到沉积系统1(1’)的输入以及监视来自沉积系统1(1’)的输出,以便于控制和监测上述膜沉积工艺。例如,控制器70可包括计算机可读介质,其中包含用于实现图6所述步骤的程序执行指令。此外,控制器70可以耦合到处理室10、衬底支架20、上部组件30、第一处理材料供应系统40、第二处理材料供应系统42、净化气体供应系统44、第一功率源50、第二功率源52、衬底温度控制器60和/或压强控制系统32,并与之交换信息。例如,存储在存储器中的程序可以用于根据工艺流程激活到沉积系统1(1’)的前述部件的输入,以执行上述非等离子体或等离子体增强沉积工艺中的一种。
控制器70的一个示例是可以从Texas,Austin,Dell Corporation得到的DELL PRECISION WORKSTATION 610TM。然而,控制器70可以实现为通用计算机系统,其响应于处理器执行包含在存储器中的一条或多条指令的一个或多个序列而执行本发明的基于微处理器的处理步骤的一部分或全部。这些指令可以从另一计算机可读介质(例如硬盘或可移动介质驱动器)读取到控制器存储器中。也可以采用多处理布置中的一个或多个处理器作为控制器微处理器,以执行包含在主存储器中的指令序列。在可替换实施方式中,硬连线电路可以用于替代软件指令或者与软件指令相组合。从而,实施例并不限于硬件电路和软件的任何特定组合。
控制器70包括至少一种计算机可读介质或存储器,例如控制器存储器,其用于保存根据本发明的教导编程的指令并用于保存数据结构、表、记录或可能是实现本发明所必需的其他数据。计算机可读介质的示例是致密盘、硬盘、软盘、磁带、磁光盘、PROM(EPROM、EEPROM、闪存EPROM)、DRAM、SRAM、SDRAM、或任何其他磁介质、致密盘(例如CD-ROM)、或任何其他光介质、穿孔卡、纸带、或其他具有孔图案的物理介质、载波(下面描述)、或任何其他计算机可读取的介质。
本发明包括存储在计算机可读介质中的任何一种或其组合上的软件,这些软件用于控制控制器70,用于驱动用于实现本发明的一个或多个设备,并且/或者用于使得控制器能够与人类用户交互。这些软件可包括但不限于设备驱动器、操作系统、开发工具和应用软件。这种计算机可读介质还包括用于执行在实现本发明时执行的处理的全部或一部分(如果处理是分布式的话)的本发明的计算机程序产品。
本发明的计算机代码设备可以是任何可解释的或可执行的代码机制,包括但不限于脚本、可解释程序、动态链接库(DLL)、Java类和完全可执行程序。而且,本发明的处理的一部分可以是分布式的以实现更好的性能、可靠性和/或成本。
这里所用的术语“计算机可读介质”指参与向控制器70的处理器提供以供执行的指令的任何介质。计算机可读介质可以采取许多形式,包括但不限于非易失性介质、易失性介质和传输介质。非易失性介质例如包括光盘、磁盘和磁光盘,例如硬盘或可移动介质驱动器。易失性介质包括动态存储器,例如主存储器。而且,各种形式的计算机可读介质可以用来向控制器的处理器运送一条或多条指令的一个或多个序列以供执行。例如,这些指令最初可以承载在远程计算机的磁盘上。远程计算机可以将用于实现本发明的全部或一部分的指令远程加载到动态存储器中,并通过网络将指令发送到控制器70。
控制器70可以相对于沉积系统1(1’)位于本地,或者其可以相对于沉积系统1(1’)位于远处。例如,控制器70可以利用直接连接、内部网、因特网和无线连接中的至少一种与沉积系统1(1’)交换数据。控制器70可以耦合到例如在客户位置(即,器件制造者等)处的内部网,或者可以耦合到例如在供应商位置(即,设备制造商)处的内部网。另外,例如,控制器70可以耦合到因特网。此外,另一台计算机(即,控制器、服务器等)可以经由直接连接、内部网和因特网中的至少一种访问例如控制器70以交换数据。本领域技术人员还将意识到,控制器70可以经由无线连接与沉积系统1(1’)交换数据。
尽管上面只详细描述了本发明的某些示例性实施方式,但是本领域技术人员将很容易意识到,在示例性实施例中可以进行许多修改,而基本上不脱离本发明的新颖教导和优点。

Claims (33)

1.一种在气相沉积系统中在衬底上形成薄膜的方法,包括:
将衬底放置在所述气相沉积系统的处理室中的衬底支架上,所述处理室具有所述衬底上方限定的第一处理空间,所述第一处理空间具有第一体积;
使用等离子体增强原子层沉积(PEALD)工艺在所述衬底上沉积薄膜,所述等离子体增强原子层沉积工艺包括:
通过下述步骤将气态膜前驱体引入到所述衬底的表面:
调整所述处理室处理体积从而形成第二处理空间,所述第二处理空间包含在所述处理室内,并包括所述第一处理空间的一部分,且所述第二处理空间具有小于所述第一体积的第二体积;
在第一时间段内将包括所述气态膜前驱体的第一处理材料引入到所述第二处理空间内;和
在所述第一时间段内在所述第二处理空间内将所述衬底暴露于所述第一处理材料;
通过下述步骤使所述衬底的所述表面上的所述气态膜前驱体与等离子体反应形成薄膜:
调整所述处理室处理体积从而重新形成所述第一处理空间;
将第二处理材料引入到所述第一处理空间内;
在所述第一处理空间内从所述第二处理材料形成所述等离子体,和
在所述第一时间段之后将所述衬底暴露于所述第二处理材料持续第二时间段。
2.如权利要求1的方法,还包括:
利用多次沉积循环重复进行将所述气态膜前驱体引入到所述衬底和使所述衬底上的所述气态膜前驱体与等离子体反应,从而形成具有预定厚度的所述薄膜。
3.如权利要求1的方法,其中调整所述处理室处理体积从而重新形成所述第一处理空间包括:
将所述衬底支架移动至提高所述等离子体的均匀度的位置。
4.如权利要求3的方法,其中所述移动包括:
将所述衬底支架设定在可使等离子体均匀度在整个衬底直径上优于2%的位置上。
5.如权利要求4的方法,其中所述移动包括:
将所述衬底支架设定在可使等离子体均匀度在整个衬底直径上优于1%的位置上。
6.如权利要求1的方法,其还包括:
沉积钽膜、氮化钽膜或碳氮化钽膜中的至少一种。
7.如权利要求1的方法,其还包括:
沉积金属膜、金属氧化物膜、金属氮化物膜、金属硅化物膜或金属碳氮化物膜中的至少一种或这些膜的任意组合。
8.如权利要求1的方法,还包括:
沉积Cu膜、Al膜、氧化锆膜、氧化铪膜、氧化硅膜、氮化硅膜、氮化钛膜或GaN膜中的至少一种或这些膜的任意组合。
9.如权利要求1的方法,其中所述引入气态膜前驱体包括:
将所述气态膜前驱体引入被护罩包围的衬底上方区域。
10.如权利要求9的方法,还包括:
通过用泵抽吸所述气态膜前驱体穿过所述护罩上的孔,将所述膜前驱体从所述衬底上方区域排空。
11.如权利要求1的方法,其中形成所述等离子体包括:
施加频率为0.1-100MHz的RF能量。
12.如权利要求11的方法,其中形成所述等离子体包括:
生成功率密度小于10W/cm2的还原等离子体。
13.如权利要求11的方法,其中形成所述等离子体包括:
生成功率密度小于1W/cm2的还原等离子体。
14.如权利要求11的方法,还包括:
维持所述等离子体的时间小于20s。
15.如权利要求11的方法,还包括:
维持所述等离子体的时间小于5s。
16.如权利要求1的方法,还包括:
在引入气态膜前驱体之后引入净化气体。
17.如权利要求1的方法,还包括:
至少在所述等离子体期间向所述衬底施加衬底偏压。
18.如权利要求17的方法,其中所述施加衬底偏压包括:
利用DC电压或频率为0.1-100MHz的RF电压对衬底进行偏置。
19.一种用于在衬底上进行薄膜气相沉积的系统,包括:
包括第一处理空间的处理室,所述第一处理空间具有第一体积;
与所述处理室耦合的衬底支架,其被配置用于支撑衬底并将所述衬底暴露于所述第一处理空间;
与所述处理室耦合的等离子体生成系统,其被配置用于在所述第一处理空间内生成等离子体;
与所述处理室耦合的处理体积调节机构,其被配置用于形成第二处理空间,所述第二处理空间包括所述第一处理空间的一部分,并且具有小于所述第一体积的第二体积,所述衬底被暴露于所述第二处理空间;和
与所述处理室耦合的真空泵系统,其被配置用于排空所述第一处理空间。
20.如权利要求19的系统,还包括:
具有气体供应入口的第一室组件;和
支撑所述衬底支架的第二室组件,其被配置用于支撑用于排空所述处理室的真空泵系统。
21.如权利要求20的系统,其中:
所述第二处理空间部分地通过小于或等于20mm的间距来限定,所述间距是从所述衬底支架的顶端到所述第一室组件上的气体供应入口,
所述第一处理空间部分地通过大于或等于20mm的间距来限定,所述间距是从所述衬底支架的顶端到所述第一室组件上的气体供应入口。
22.如权利要求19的系统,其中所述第一处理空间包括高宽比大于0.1的空间。
23.如权利要求19的系统,其中所述第一处理空间包括高宽比大于0.5的空间。
24.如权利要求19的系统,还包括:
护罩,其被配置成包围所述第二处理空间的外围边缘。
25.如权利要求24的系统,其中所述护罩包括带孔护罩。
26.如权利要求24的系统,其中:
所述衬底支架的外凸缘被配置成与所述护罩的外围边缘接触。
27.如权利要求26的系统,其中所述外凸缘被配置成与所述外围边缘形成密封。
28.如权利要求19的系统,其中所述处理室被配置用于原子层沉积金属膜、金属氧化物膜、金属氮化物膜、金属硅化物膜和金属碳氮化物膜中的至少一种或这些膜的任意组合。
29.如权利要求19的系统,其中所述处理室被配置用于原子层沉积氧化锆膜、氧化铪膜、氧化硅膜、氮化硅膜、氮化钛膜、氮化钽膜、碳氮化钽膜、Cu膜、Al膜、Zn膜、Ta膜、Ti膜、W膜、氮化钨膜或GaN膜中的至少一种或这些膜的任意组合。
30.如权利要求19的系统,还包括:
RF功率源,其被配置用于输出频率为0.1-100MHz的RF能量。
31.如权利要求30的系统,还包括:
连接到RF功率源的电极,其被配置用于将所述RF能量耦合到所述第一和第二处理空间中的至少一个。
32.如权利要求19的系统,还包括:
偏压源,其被配置用于输出DC电压或频率为0.1-100MHz的RF电压中的至少一种。
33.如权利要求32的系统,还包括:
用于对所述衬底施加偏压的电极,所述电极连接到RF偏压源并被配置成将所述RF电压耦合到所述衬底上。
CN2006800513580A 2005-11-18 2006-11-09 进行等离子体增强原子层沉积的方法和系统 Expired - Fee Related CN101535524B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/281,342 2005-11-18
US11/281,342 US7897217B2 (en) 2005-11-18 2005-11-18 Method and system for performing plasma enhanced atomic layer deposition
PCT/US2006/043545 WO2007061633A2 (en) 2005-11-18 2006-11-09 Method and system for performing plasma enhanced atomic layer deposition

Publications (2)

Publication Number Publication Date
CN101535524A CN101535524A (zh) 2009-09-16
CN101535524B true CN101535524B (zh) 2013-03-06

Family

ID=38053869

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800513580A Expired - Fee Related CN101535524B (zh) 2005-11-18 2006-11-09 进行等离子体增强原子层沉积的方法和系统

Country Status (6)

Country Link
US (1) US7897217B2 (zh)
JP (1) JP5312036B2 (zh)
KR (1) KR101351657B1 (zh)
CN (1) CN101535524B (zh)
TW (1) TWI366609B (zh)
WO (1) WO2007061633A2 (zh)

Families Citing this family (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040036129A1 (en) * 2002-08-22 2004-02-26 Micron Technology, Inc. Atomic layer deposition of CMOS gates with variable work functions
US7473637B2 (en) 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
KR100706803B1 (ko) * 2006-01-19 2007-04-12 삼성전자주식회사 반도체 소자 및 그의 형성 방법
US7947579B2 (en) * 2006-02-13 2011-05-24 Stc.Unm Method of making dense, conformal, ultra-thin cap layers for nanoporous low-k ILD by plasma assisted atomic layer deposition
JP4820864B2 (ja) * 2006-03-30 2011-11-24 三井造船株式会社 プラズマ原子層成長方法及び装置
US8026168B2 (en) * 2007-08-15 2011-09-27 Tokyo Electron Limited Semiconductor device containing an aluminum tantalum carbonitride barrier film and method of forming
US8039052B2 (en) * 2007-09-06 2011-10-18 Intermolecular, Inc. Multi-region processing system and heads
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US7704884B2 (en) * 2008-04-11 2010-04-27 Micron Technology, Inc. Semiconductor processing methods
US7985680B2 (en) * 2008-08-25 2011-07-26 Tokyo Electron Limited Method of forming aluminum-doped metal carbonitride gate electrodes
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
CA2653581A1 (en) 2009-02-11 2010-08-11 Kenneth Scott Alexander Butcher Migration and plasma enhanced chemical vapour deposition
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5668294B2 (ja) * 2010-02-23 2015-02-12 凸版印刷株式会社 ガスバリアフィルムおよびその製造方法
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
JP5839804B2 (ja) * 2011-01-25 2016-01-06 国立大学法人東北大学 半導体装置の製造方法、および半導体装置
JP2012182303A (ja) * 2011-03-01 2012-09-20 Toppan Printing Co Ltd 太陽電池バックシート
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP6199292B2 (ja) * 2011-09-23 2017-09-20 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated プラズマ活性化されるコンフォーマル誘電体膜
CN103031545B (zh) * 2011-09-29 2014-10-22 中国科学院微电子研究所 一种沉积室容积可调节的原子层沉积设备
CN103031546B (zh) * 2011-09-29 2016-01-20 中国科学院微电子研究所 一种原子层沉积设备及其使用方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TW201408810A (zh) * 2012-07-12 2014-03-01 Applied Materials Inc 用於沉積貧氧金屬膜的方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
JP6225837B2 (ja) 2014-06-04 2017-11-08 東京エレクトロン株式会社 成膜装置、成膜方法、記憶媒体
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
CN105369218B (zh) * 2014-08-06 2019-02-01 成均馆大学校产学协力团 无机薄膜的高速沉积方法以及用于所述方法的装置
CN105369222B (zh) * 2014-08-06 2019-02-01 成均馆大学校产学协力团 包含多种前体的无机薄膜的制备方法及用于该方法的装置
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
JP6334369B2 (ja) 2014-11-11 2018-05-30 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
JP6354539B2 (ja) * 2014-11-25 2018-07-11 東京エレクトロン株式会社 基板処理装置、基板処理方法、記憶媒体
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10121655B2 (en) 2015-11-20 2018-11-06 Applied Materials, Inc. Lateral plasma/radical source
TWI726951B (zh) * 2015-12-17 2021-05-11 美商應用材料股份有限公司 處理氮化物膜之方法
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
CN106756885A (zh) * 2016-12-27 2017-05-31 中国科学院微电子研究所 一种可变电场调制的远程等离子体原子层沉积系统
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10822699B2 (en) * 2017-12-29 2020-11-03 Varian Semiconductor Equipment Associates, Inc. Techniques for controlling precursors in chemical deposition processes
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP7126381B2 (ja) 2018-05-21 2022-08-26 東京エレクトロン株式会社 成膜装置および成膜方法
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
CN110218988A (zh) * 2019-06-10 2019-09-10 浙江水晶光电科技股份有限公司 Ar膜制备装置、ar膜制备方法及ar膜
JP7300898B2 (ja) 2019-06-11 2023-06-30 東京エレクトロン株式会社 基板処理方法及び基板処理装置
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
JP6851510B2 (ja) 2019-06-20 2021-03-31 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理方法
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
CN114938653A (zh) * 2020-02-06 2022-08-23 应用材料公司 用于在薄膜沉积期间调整膜性质的方法与设备
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
FR3107782B1 (fr) * 2020-02-27 2023-10-27 Commissariat Energie Atomique Procédé de réalisation d’une couche à base de nitrure d’aluminium (AlN) sur une structure à base de silicium ou de matériaux III-V
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11672184B2 (en) * 2020-08-14 2023-06-06 United Microelectronics Corp. Magnetic tunnel junction (MTJ) device and manufacturing method thereof
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TWI769631B (zh) * 2020-12-21 2022-07-01 天虹科技股份有限公司 原子層沉積裝置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US20230047186A1 (en) * 2021-08-13 2023-02-16 Nano-Master, Inc. Apparatus and Methods for Roll-to-Roll (R2R) Plasma Enhanced/Activated Atomic Layer Deposition (PEALD/PAALD)
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114381710A (zh) * 2022-01-17 2022-04-22 西安交通大学 一种GaN薄膜的制备方法、GaN薄膜及其应用

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6462483B1 (en) * 1999-11-18 2002-10-08 Nano-Architect Research Corporation Induction plasma processing chamber
CN1429398A (zh) * 1999-12-30 2003-07-09 兰姆研究有限公司 应用于等离子体加工系统中的线性驱动系统
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5735960A (en) * 1996-04-02 1998-04-07 Micron Technology, Inc. Apparatus and method to increase gas residence time in a reactor
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
AU2002211730A1 (en) 2000-10-16 2002-04-29 Tokyo Electron Limited Plasma reactor with reduced reaction chamber
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
KR100674279B1 (ko) * 2003-03-25 2007-01-24 동경 엘렉트론 주식회사 처리장치 및 처리방법
JP2005082888A (ja) * 2003-09-11 2005-03-31 Tokyo Electron Ltd 成膜装置
JP4651955B2 (ja) * 2004-03-03 2011-03-16 東京エレクトロン株式会社 成膜方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6462483B1 (en) * 1999-11-18 2002-10-08 Nano-Architect Research Corporation Induction plasma processing chamber
CN1429398A (zh) * 1999-12-30 2003-07-09 兰姆研究有限公司 应用于等离子体加工系统中的线性驱动系统
US6630201B2 (en) * 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition

Also Published As

Publication number Publication date
US20070116887A1 (en) 2007-05-24
US7897217B2 (en) 2011-03-01
TW200730661A (en) 2007-08-16
KR20080070072A (ko) 2008-07-29
KR101351657B1 (ko) 2014-02-17
WO2007061633A3 (en) 2009-04-23
WO2007061633A2 (en) 2007-05-31
TWI366609B (en) 2012-06-21
CN101535524A (zh) 2009-09-16
JP2009521594A (ja) 2009-06-04
JP5312036B2 (ja) 2013-10-09

Similar Documents

Publication Publication Date Title
CN101535524B (zh) 进行等离子体增强原子层沉积的方法和系统
JP5101868B2 (ja) シングルチャンバ内で異なる堆積プロセスを実行する方法およびシステム
CN101082125B (zh) 用于热和等离子体增强气相沉积的设备
US7338901B2 (en) Method of preparing a film layer-by-layer using plasma enhanced atomic layer deposition
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7407876B2 (en) Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
JP5209197B2 (ja) 熱およびプラズマ増強蒸着のための装置および操作方法
US8815014B2 (en) Method and system for performing different deposition processes within a single chamber
US7670432B2 (en) Exhaust system for a vacuum processing system
US8163087B2 (en) Plasma enhanced atomic layer deposition system and method
US7740705B2 (en) Exhaust apparatus configured to reduce particle contamination in a deposition system
CN100590819C (zh) 等离子体增强原子层沉积方法和包含由此形成的膜的半导体器件
WO2006101886A2 (en) A plasma enhanced atomic layer deposition system and method
WO2007111779A2 (en) Method of integrating peald ta-containing films into cu metallization
CN101205605B (zh) 用于热增强和等离子体增强气相沉积的装置及操作方法
WO2006104741A1 (en) A plasma enhanced atomic layer deposition system having reduced contamination
WO2006101619A2 (en) A deposition system and method
KR101281863B1 (ko) 증착 시스템

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130306

Termination date: 20161109

CF01 Termination of patent right due to non-payment of annual fee