KR102377033B1 - 반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법 - Google Patents

반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법 Download PDF

Info

Publication number
KR102377033B1
KR102377033B1 KR1020180132715A KR20180132715A KR102377033B1 KR 102377033 B1 KR102377033 B1 KR 102377033B1 KR 1020180132715 A KR1020180132715 A KR 1020180132715A KR 20180132715 A KR20180132715 A KR 20180132715A KR 102377033 B1 KR102377033 B1 KR 102377033B1
Authority
KR
South Korea
Prior art keywords
substrate support
closure
baseplate
substrate
closure element
Prior art date
Application number
KR1020180132715A
Other languages
English (en)
Other versions
KR20190050710A (ko
Inventor
칼 루이스 화이트
카일 폰두룰리아
존 케빈 슈그루
데이비드 말쿠어트
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/803,615 external-priority patent/US10872803B2/en
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20190050710A publication Critical patent/KR20190050710A/ko
Application granted granted Critical
Publication of KR102377033B1 publication Critical patent/KR102377033B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68735Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Polarising Elements (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)
  • Encapsulation Of And Coatings For Semiconductor Or Solid State Devices (AREA)

Abstract

본 개시는 개구를 갖는 베이스플레이트를 포함할 수 있는 반응 챔버; 기판을 지지하도록 구성되는 이동 가능한 기판 지지부; 상기 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소; 상기 기판 지지부 위에 위치하고 상기 기판 지지부를 향해 가스를 하향으로 유도하도록 구성되는 복수의 가스 유입구; 및 상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부를 형성하도록 구성되는 밀폐 요소(상기 밀폐부는 상기 기판 지지부의 중심으로부터 상기 기판 지지부의 외측 가장자리보다 더 큰 방사상 거리에 위치함)를 갖는 반도체 처리 장치에 관한 것이다. 일부 구현예에서, 밀폐 요소는 상기 밀폐 요소를 통해 연장되는 복수의 애퍼처를 또한 포함할 수 있으며, 상기 애퍼처는 상기 밀폐 요소 아래의 위치와 상기 밀폐 요소 위의 위치 사이에 흐름 경로(flow path)를 제공하도록 구성된다. 일부 구현예는 2개 이상의 적층된 밀폐 요소를 포함한다.

Description

반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법{APPARATUS AND METHODS FOR ISOLATING A REACTION CHAMBER FROM A LOADING CHAMBER RESULTING IN REDUCED CONTAMINATION}
본 개시는 반도체 기판을 다루고 처리하는 시스템 및 방법, 특히 박막 제조에 사용되는 리액터에 관한 것이다.
트랜지스터, 다이오드 및 집적 회로와 같은 반도체 소자의 처리에서, 이러한 복수의 소자는 전형적으로 기판, 웨이퍼 또는 공정 제품(workpiece)이 라고 불리는 반도체 재료의 얇은 슬라이스(slice) 상에 동시에 제조된다. 제조 공정은 다른 단계 중에서도 기판 표면 상에 박막을 증착하기 위한 기상 증착을 포함한다. 이러한 방법은 진공 증발 증착, MBE(Molecular Beam Epitaxy), 화학 기상 증착(CVD)의 다양한 변형(저압 및 유기금속 CVD 및 플라즈마 향상 CVD 포함) 및 원자층 증착(ALD)을 포함한다.
ALD 공정에서, 코팅되는 적어도 하나의 표면을 갖는 하나 이상의 기판이 증착 챔버 내에 도입된다. 기판은 원하는 온도, 통상적으로 선택된 기상 반응물들의 응축 온도 이상과 이들의 열분해 온도 이하로 가열된다. 하나의 반응물은 이전 반응물의 흡착된 종과 반응하여 기판 표면 상에 원하는 생성물을 형성할 수 있다. 2 개 또는 3 개 이상의 반응물은 통상적으로 공간적 및 시간적으로 분리된 펄스로 기판에 제공된다.
일례로 제1 펄스에서, 전구체 물질을 나타내는 제1 반응물은 웨이퍼 상의 자기-제한 공정에서 대체로 손상되지 않고 흡착된다. 기상 전구체가 상기 전구체의 흡착된 부분과 반응하거나 그 위에 흡착할 수 없기 때문에 상기 공정은 자기-제한적이다. 임의의 나머지 제1 반응물이 웨이퍼 또는 챔버로부터 제거된 후, 기판 상의 흡착된 전구체 물질은 후속하는 반응물 펄스와 반응하여 원하는 물질의 단일 분자층 이하를 형성한다. 후속 반응물은 예를 들어, 흡착된 전구체 물질로부터 리간드를 제거하여 표면을 다시 반응성으로 만들고, 리간드를 대체하고, 화합물을 위한 추가 물질을 남길 수도 있다. 순수한 ALD 공정에서, 평균적으로 사이클당 단일층 미만이 형성되는데 이유는 입체 방해에 기인하며 이 입체 방해로써 전구체 분자의 크기가 기판 상의 흡착 부위로의 접근을 방지하여 후속 사이클에서 이용 가능하게 될 수 있다. 더 두꺼운 막은 목표 두께가 달성될 때까지 반복된 성장 사이클을 통해 생성된다. 성장 속도는 사이클당 옹스트롱으로 제공되는데 이론적으로 성장은 사이클의 수에만 의존하기 때문이고, 각각의 펄스가 포화 상태이고 그러한 반응물에 대한 온도가 이상적인 ALD 온도 범위 내에 있기만 하면(열분해 및 응축이 없음) 공급된 질량 또는 온도에 의존하지 않는다.
반응물 및 온도는 통상적으로 공정 동안에 반응물의 응축 및 열분해를 피하기 위해 선택되어, 화학 반응이 다중 사이클을 통한 성장에 책임지도록 한다. 그러나, ALD 공정의 특정 변형에서 하이브리드 CVD 및 ALD 반응 메카니즘을 활용함으로써 사이클당 성장 속도, 가능하면 사이클당 하나의 분자 단일층을 초과할 수 있는 조건이 선택될 수 있다. 다른 변형은 반응물 사이의 공간적인 및/또는 시간적인 중첩을 허용할 수 있다. ALD 및 그 변형 예에서 2개, 3개, 4개 이상의 반응물이 단일 사이클에서 순차적으로 공급될 수 있고, 각 사이클의 함유량은 조성을 맞추기 위해 변할 수 있다.
통상적인 ALD 공정 동안, 반응물 펄스 사이의 제거 단계를 갖는 반응 공간(예, 반응 챔버) 내에 증기 형태의 반응물 펄스가 순차적으로 펄스화되어 기상에서의 반응물 사이의 직접적인 상호 작용을 피한다. 예를 들어, 비활성 가스 펄스 또는 "퍼지" 펄스가 반응물의 펄스 사이에 제공될 수 있다. 비활성 가스는 가스상 혼합을 피하기 위해, 다음 반응물 펄스 이전에 하나의 반응물 펄스의 챔버를 퍼지한다. 자기-제한 성장을 얻기 위해, 충분한 양의 전구체 각각이 기판을 포화시키도록 제공된다. 진정한 ALD 공정의 각 사이클에서의 성장 속도는 자기-제한적이기 때문에, 성장 속도는 반응물의 플럭스보다는 반응 시퀀스의 반복 속도에 비례한다.
ALD 또는 다른 증착 공정을 구현할 때, 공정 제품은 소자 고장을 일으킬 수 있는 미립자에 의해 오염되지 않는 것이 바람직하다. 따라서, 공정 제품이 처리되는 리액터는 통상적으로 반응 공간 외부로부터의 오염이 반응 공간으로 들어가는 것을 방지하고, 반응물 및 반응물 부산물이 반응 공간의 외부로 빠져 나가는 것을 방지하기 위해 밀폐된다.
일 구현예에 따르면, 반도체 처리 장치가 제공된다. 반도체 처리 장치는 개구(opening)를 포함하는 베이스플레이트(baseplate)를 포함하는 반응 챔버(reaction chamber), 기판을 지지하도록 구성되는 이동 가능한 기판 지지부(movable substrate support), 상기 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소(movement element), 상기 기판 지지부 위에 위치하고 상기 기판 지지부를 향해 가스를 하향으로 유도하도록 구성되는 복수의 가스 유입구(a plurality of gas inlets), 및 상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부(seal)를 형성하도록 구성되는 밀폐 요소(sealing element)(상기 밀폐부(seal)는 상기 기판 지지부의 중심으로부터 상기 기판 지지부의 외측 가장자리(edge)보다 더 큰 방사상 거리에 위치함)를 포함한다.
특정 구현예에 따라, 각각의 애퍼처(aperture)는 슬롯(slot)을 포함할 수 있고, 복수의 애퍼처는 밀폐 요소의 외측 가장자리 둘레에 이격될(spaced) 수 있다. 상기 장치는 밀폐 요소의 방사상 내부에 배열된 제2 복수의 슬롯을 더 포함할 수 있다.
일 구현예에 따라, 반도체 처리 장치는 개구(opening)를 포함하는 베이스플레이트(baseplate)를 포함하는 반응 챔버(reaction chamber), 기판을 지지하도록 구성되는 이동 가능한 기판 지지부(movable substrate support), 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소(movement element), 및 상기 기판 지지부 주위로 연장되고 상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부(seal)를 형성하도록 구성되는 금속 밀폐 요소(metal sealing element)를 포함한다. 복수의 애퍼처가 밀폐 요소를 통해 연장되고, 상기 애퍼처는 밀폐 요소 아래의 위치와 밀폐 요소 위의 위치 사이에 흐름 경로(flow path)를 제공하도록 구성된다.
특정 구현예에 따라, 각각의 애퍼처(aperture)는 슬롯(slot)을 포함할 수 있고, 복수의 애퍼처는 밀폐 요소의 외측 가장자리 둘레에 이격될(spaced) 수 있다. 상기 장치는 밀폐 요소의 방사상 내측 부위에 배열된 제2 복수의 슬롯(slot)을 더 포함할 수 있다.
일 구현예에 따라, 반도체 처리 장치는 개구(opening)를 포함하는 베이스플레이트(baseplate)를 포함하는 반응 챔버(reaction chamber), 기판을 지지하도록 구성되는 기판 고정부(substrate retention portion)를 포함하는 이동 가능한 기판 지지부(movable substrate support), 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소(movement element), 및 상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부(seal)를 형성하도록 구성되는 밀폐 요소(sealing element)를 포함한다. 밀폐부(seal)는 기판 지지부의 중심과 기판 고정부의 외측 가장자리 사이의 거리보다 적어도 30 % 이상의 거리로 기판 지지부의 중심으로부터 방사상으로 위치한다. 복수의 애퍼처가 밀폐 요소를 통해 연장되고, 상기 애퍼처는 밀폐 요소 아래의 위치와 밀폐 요소 위의 위치 사이에 흐름 경로(flow path)를 제공하도록 구성된다.
다른 구현예에 따라, 반도체 처리 장치는 개구(opening)를 포함하는 베이스플레이트(baseplate)를 포함하는 반응 챔버(reaction chamber), 기판을 지지하도록 구성되는 이동 가능한 기판 지지부(movable substrate support), 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소(movement element), 및 2개 이상의 적층된 금속 밀폐 요소(metal sealing element)를 포함한다. 상기 적층된 금속 밀폐 요소는 상기 기판 지지부 주위에 연장된다. 금속 밀폐 요소 중 적어도 하나는 상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부를 형성하도록 구성된다.
본 발명 및 선행 기술에 대하여 달성되는 장점들을 요약하기 위한 목적으로, 본 발명의 특정 목적 및 장점들이 상기에서 본원에 기재되어 있다. 물론, 모든 목적 및 장점들이 본 발명의 임의의 특별한 구현예에 따라 반드시 달성되는 것이 아니라는 것을 이해하여야 한다. 따라서, 예들 들면 당업자는 본 발명이 본원에 교시 또는 제시될 수 있는 다른 목적들 또는 장점들을 반드시 달성하지 않고, 본원에 교시된 바와 같은 하나의 장점 또는 여러 장점들을 달성 또는 최적화 하는 방식으로 구현되거나 수행될 수 있다는 것을 인식할 것이다.
이들 구현예 모두는 본원에 개시된 본 발명의 범주 내에 있도록 의도된다. 본 발명의 이들 및 다른 구현예들은 첨부된 도면들을 참조하는 바람직한 구현예들의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명하게 될 것이고, 본 발명은 개시된 임의의 바람직한 특정 구현예(들)에 한정되지 않는다.
본원에 개시된 발명의 이러한 그리고 기타 특징, 양태 및 장점은 일부 구현예의 도면을 참조하여 아래에 설명될 것이고, 이는 본 발명을 도시하고, 본 발명을 한정하기 위함은 아니다.
도 1은 반도체 처리 장치의 일 구현예의 원근 단면도이다.
도 2a는 도 1의 반도체 처리 장치의 일 구현예의 전방 단면도이다.
도 2b는 도 1의 반도체 처리 장치의 일 부위 구현예의 전방 단면도이다.
도 3a는 반도체 처리 장치의 일 구현예에 따라 로딩 챔버를 반응 챔버에서 격리시키는 접촉 밀폐부의 확대 단면도이다.
도 3b는 도 3a의 일 부위의 확대도이다.
도 3c는 도 3b와 유사한 확대도이나 만곡된 표면(curved surface)을 갖는 베이스플레이트를 구비한 구현예의 확대도이다.
도 3d는 일 구현예에 따라 밀폐 요소의 상부도이다.
도 4는 반도체 처리 장치의 일 구현예에 따라 반응 챔버에서 로딩 챔버를 격리시키는 밀폐부의 확대 단면도이다.
도 5는 반도체 처리 장치의 일 구현예에 따라 반응 챔버에서 로딩 챔버를 격리시키는 밀폐부의 확대 단면도이다.
도 6은 반도체 처리 장치의 일 구현예에 따라 반응 챔버에서 로딩 챔버를 격리시키는 밀폐부의 확대 단면도이다.
도 7은 반도체 처리 장치의 일 구현예에 따라 반응 챔버에서 로딩 챔버를 격리시키는 밀폐부의 확대된 횡단면도이다.
도 8a는 반도체 처리 장치의 일 구현예의 단면도이다.
도 8b는 다중 밀폐 요소를 갖는 반도체 처리 장치의 일 구현예의 단면도이다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형 및 등가물 너머로 연장된다는 것을 이해할 것이다. 따라서, 본원에 개시된 발명의 범주는 후술되는 구체적인 개시된 구현예에 의해 제한되지 않도록 의도된다.
증기 또는 가스 증착 공정에서 기판(예, 반도체 웨이퍼)의 폭 또는 주요 표면에 걸쳐 균일한 증착을 제공하는 것이 중요할 수 있다. 균일한 증착은 기판에 걸쳐 동일한 두께 및/또는 화학 조성을 보장하고, 이는 집적 소자(예, 프로세서, 메모리 소자, 등)의 수율을 향상시키므로 기판당 수익성을 향상시킨다. 이러한 증착 공정 동안, 반응 부산물, 미립자, 또는 다른 오염물로부터 기판 및 반응 챔버의 노출을 방지하여 수율과 수익성을 향상시키는 것이 중요하다.
본원에 개시된 구현예는 임의의 적합한 가스 또는 기상 증착 공정을 위해 구성되는 반도체 공정 처리 장치와 함께 활용될 수 있다. 예를 들어, 도시된 구현예는 원자층 증착(ALD) 기술을 사용하여 기판 상에 물질을 증착하기 위한 다양한 시스템을 나타낸다. 기상 증착 기술 중에서 ALD는 저온에서 높은 등각성(conformality) 및 공정이 일어나는 동안 조성의 정밀한 제어성을 포함하여 많은 장점을 가진다. ALD형 공정은 전구체 화학물질의 제어된 자기-제한 표면 반응을 기반으로 한다. 기상 반응은 반응 챔버 내에 교대 순차적으로 전구체를 제공함으로써 방지된다. 기상 반응물은, 예를 들어 반응 펄스 사이에 과잉의 반응물 및/또는 반응 부산물을 반응 챔버로부터 제거함으로써 반응 챔버에서 서로 분리된다. 제거는 펄스 사이의 퍼지 및/또는 압력 감소를 포함하는 다양한 기술에 의해 달성될 수 있다. 펄스는 연속 흐름으로 순차적일 수 있거나, 리액터를 격리할 수 있으며 각 펄스마다 다시 채워질 수 있다.
간략하게, 기판은 반응 챔버 내로 로딩되어 일반적으로 낮아진 압력에서 적절한 증착 온도로 가열된다. 증착 온도는 전구체의 열 분해 온도보다 낮지만, 반응물의 응축을 방지하고 원하는 표면 반응을 위한 활성화 에너지를 제공하기에 충분히 높은 수준으로 통상 유지된다. 물론, 임의의 주어진 ALD 반응을 위한 적절한 온도 범위는 관련된 표면 말단 및 반응물 종에 따라 달라질 것이다.
제1 반응물은 기상 펄스의 형태로 챔버 내에 들어가거나 펄스화되어 기판 표면과 접촉된다. 약 하나 이하의 전구체 단층이 자기-제한 방식으로 기판 표면 상에 흡착되도록 조건들이 선택되는 것이 바람직하다. 과잉의 제1 반응물 및 반응 부산물이 있으면, 이들은 종종 질소 또는 아르곤과 같은 비활성 가스의 펄스와 함께 반응 챔버로부터 퍼지된다.
반응 챔버를 퍼지한다는 것은, 예컨대 진공 펌프로 챔버를 배기하고/하거나 반응기 내부의 가스를 아르곤이나 질소와 같은 비활성 가스로 대체함에 의해서와 같이 기상 전구체 및/또는 기상 부산물을 반응 챔버로부터 제거하는 것을 의미한다. 단일 웨이퍼 리액터의 경우 통상적인 퍼지 시간은 약 0.05 내지 20 초, 보다 바람직하게는 약 1 내지 10 초, 및 보다 더 바람직하게는 약 1 내지 2 초이다. 그러나, 매우 높은 종횡비 구조 또는 복잡한 표면 형태를 갖는 다른 구조 위에 층을 증착할 때 또는 큰 체적의 배치식 반응기가 채용될 때와 같이 필요하다면, 다른 퍼지 시간이 활용될 수 있다. 적절한 펄스화 시간은 특정 환경에 기반하여 당업자에 의해 용이하게 결정될 수 있다.
제2 기체 반응물은 표면에 구속된 제1 반응물과 반응하는 챔버 내로 펄스화된다. 과잉의 제2 반응물과 기판 표면의 기체 부산물은 바람직하게는 비활성 가스의 도움으로 반응 챔버로부터 퍼지된다. 펄스화 및 퍼지 단계는 원하는 두께의 박막이 기판 상에 형성될 때까지 반복되며, 각각의 사이클은 하나 이하의 분자 단층을 남긴다. 일부 ALD 공정은 3개 이상의 전구체 펄스가 교대로 반복되는 더 복잡한 시퀀스를 가질 수 있으며, 각 전구체는 성장하는 막에 원소를 기여한다. 또한 반응물은 자신의 펄스에서 또는 전구체 펄스와 함께 기판에 공급될 수 있어서, 막에 원소를 기여하는 대신 부착된 리간드 및/또는 유리 부산물을 흡수하거나 분해할 수 있다. 또한 모든 사이클이 동일할 필요는 없다. 예를 들어, 이성분막은 막의 화학양론을 제어하기 위해 제3 반응물 펄스의 드문 첨가(예, 매 5회 사이클마다)에 의해 제3 원소로 도핑될 수 있으며, 막 조성을 등급화하기 위해 증착하는 동안 그 빈도수가 변할 수 있다. 또한, 흡착 반응물로 시작하는 것으로 기술되어 있지만, 일부 레시피는 다른 반응물 또는 별도의 표면 처리로 시작될 수 있는데, 예를 들어 최대 반응 부위가 ALD 반응을 개시하도록 보장할 수 있다(예, 특정 레시피에 대해서, 물 펄스는 기판 상에 히드록실기를 제공하여 ALD 전구체에 대한 반응성을 향상시킬 수 있음).
위에서 언급한 바와 같이, 각 증착 사이클의 펄스 또는 페이즈 각각은 바람직하게 자기-제한적이다. 과잉의 반응물 전구체가 민감한 구조 표면을 포화시키기 위해 각 단계에서 공급될 수 있다. 표면 포화는 이용 가능한 모든 반응 부위(예, 물리적 크기 또는 "입체 장애" 제약 조건에 종속됨)의 반응물 점유를 보장하고 따라서 기판 상의 임의의 지형에 걸쳐 우수한 단차 피복도를 보장한다. 일부 배열에서, 자기-제한적 거동도는, 예를 들어 등각성에 대항하여 반응물 펄스들의 일부 중첩이 증착 속도를 균형 잡히게 함으로써(일부 CVD형 반응을 허용함으로써) 조정될 수 있다. 시간 및 공간적으로 잘 분리된 반응물들을 갖는 이상적인 ALD 조건들은 거의 완벽한 자기-제한 거동 및 그에 따른 최대 등각성을 제공하지만, 입체 장애로 인해 사이클 당 하나의 분자층보다 덜 생기게 된다. 자기 제한적인 ALD 반응과 혼합된 제한된 CVD 반응은 증착 속도를 높일 수 있다. 본원에 설명된 구현예는 ALD 및 혼합-모드 ALD/CVD와 같이 순차적으로 펄스화하는 증착 기술에 특히 유리하지만, 본원의 구현예는 펄스화 또는 연속적인 CVD 또는 다른 반도체 공정에 또한 사용될 수 있다.
사용될 수 있는 적합한 반응기의 예는 네덜란드 알메레의 ASM International로부터 이용 가능한 EmerALD®, Synergis®, 또는 Eagle® 시리즈 리액터 중 임의의 것과 같이 상업적으로 이용 가능한 ALD 장치를 포함한다. 적절한 장비 및 전구체를 펄스화하기 위한 수단을 갖춘 CVD 리액터를 포함하여 박막의 ALD 성장이 가능한 다른 많은 종류의 리액터가 사용될 수 있다. 일부 구현예에서, 플로우형 ALD 리액터가 백필드 리액터와 비교하여 사용된다. 예를 들어, 가스를 반응 공간에 고르게 분배하도록 설계된 복수의 유입구가 구현될 수 있다. 예를 들어, 샤워헤드 어셈블리와 같은 분산 메커니즘이 단일 웨이퍼 반응 공간 위에 구현될 수 있다.
ALD 공정은 클러스터 툴에 연결된 리액터 또는 반응 공간에서 선택적으로 수행될 수 있다. 클러스터 툴에서, 각각의 반응 공간은 하나의 유형의 공정에 전용되기 때문에, 각 모듈 내의 반응 공간의 온도는 일정하게 유지될 수 있으며, 이는 각 공정 실행 전에 기판이 공정 온도로 가열되는 리액터에 비해 처리량을 향상시킨다. 독립형 리액터는 로드-록(load-lock)이 장착될 수 있다. 이러한 경우, 각 공정 실행 사이에 반응 공간을 냉각할 필요가 없다. 이러한 공정은 다수 기판을 동시에 공정 처리하도록 설계된 리액터(예, 미니-배치식 샤워헤드 리액터)에서 또한 수행될 수 있다.
일부 공지된 반도체 증착 장치 디자인은, 웨이퍼의 표면에 평행한 수평의 반응물 흐름을 위해 구성된 상부 처리 챔버 및 하부 로딩 챔버를 포함한다. 관통 흐름을 제공하도록 구성되는 2개의 챔버 사이에 갭이 구성된다. 갭은 하부 챔버로부터 상부 챔버로의 비활성 가스 퍼지 흐름을 감소시키면서 또한 공정 부산물이 반응 공간으로부터 하부 챔버로 확산하는 것을 감소시킨다. 그러나, 이러한 종래의 설계는 증가된 가스 유속을 사용하는 최근의 증착 공정에 효과적이지 못하다. 이는 더 높은 유속이 반응 챔버의 압력을 증가시키고, 차례로 로딩 챔버 압력이 증가되어 공정 화학 물질이 하부 챔버로 이동하는 것을 방지하기 때문이다. 높은 로딩 챔버 압력으로 인해 하부 챔버에서 공정 공간으로의 더 높은 흐름이 발생하고, 이는 공정 압력을 추가로 상승시키고 웨이퍼 가장자리에서 공정 화학물질을 희석시켜 증착 불균일 및 낭비를 초래한다. 추가적으로 하부 챔버에서 상부 챔버 내로의 기존의 비활성 가스 퍼지 경로는, 흐름 교란을 초래할 수 있는 웨이퍼 가장자리에 매우 가깝다. 이러한 흐름 교란은 공정 증착 균일성에 영향을 미치고/거나 입자를 생성할 수 있다. 격리용 밀폐부를 생성하는 데 사용될 수 있는 엘라스토머성 O-링과 같은 부드러운 밀폐부는 높은 공정 온도에서 작동하지 않을 수 있다.
본원에서는 반도체 처리 장치의 공정(예, 상부) 챔버와 로딩(예, 하부) 챔버 사이에 밀폐를 제공하도록 구현될 수 있는 밀폐 요소의 구현예를 설명한다. 밀폐 요소는 공정 챔버 및 로딩 챔버가 처리 위치로 이동될 때 두 개의 챔버 사이에 밀폐부가 형성되도록 구성될 수 있다. 밀폐 요소는 예를 들어, 제어된 크기, 형상, 위치 설정 및/또는 수량의 애퍼처로 구성되어서, 밀폐가 형성될 때에 제어된 양의 가스가 여전히 두 개의 챔버 사이에서 흐를 수 있다. 이러한 구성은 밀폐 요소를 갖지 않고, 상부 또는 하부 챔버 사이에 직접적인 밀폐 접촉을 가지지 않고, 2개의 챔버 사이의 갭을 통해 차단되지 않은 흐름 경로를 유지하는 전술한 종래 시스템과 다르다.
본원의 구현예는 반응 챔버에서 로딩 챔버의 격리를 향상시키기 위해 부드럽고 유연한 접촉 밀폐부를 제공할 수 있다. 밀폐 요소는 2개의 챔버 사이에서 제어된 격리를 제공하는 금속간 접촉 밀폐부를 제공할 수 있다. 완벽한 밀폐는 아니지만, 구현예는 하부 챔버에서 공정 공간 내로의 흐름을 상당히 감소시킬 수 있고, 공정 공간에서 하부 챔버 내로의 확산을 감소시켜 공정 균일성을 향상시킨다. 밀폐부는 공정 균일성을 개선하고, 예를 들어 웨이퍼 가장자리에서의 오염을 방지하기 위해, 종래 공정에 비해 기판의 가장자리로부터 방사상으로 더 멀리 위치될 수 있다. 예를 들어, 밀폐부는 진공 배기 포트에 근접하여 위치될 수 있다. 기판의 가장자리로부터 밀폐부를 더 멀리 위치시키는 것은 밀폐부 접촉 영역에서 발생할 수 있는 입자 발생에 대한 문제를 해결할 수도 있다. 밀폐 요소 내 애퍼처의 구성을 제어함으로써 비활성 가스의 제어된 흐름은 매우 낮은 흐름성 퍼지를 제공하여 반응 챔버에서 하부 챔버로의 확산을 더 감소시킬 수 있다. 이러한 퍼지 흐름은 웨이퍼 가장자리에 가까운 공정 가스를 파괴하지 않도록 반응 공간의 외측 가장자리(예, 샤워헤드의 외주부를 넘어)에서 반응 공간으로 진입할 수 있고, 따라서 공정 균일성에 영향을 미치지 않는다. 밀폐 요소의 재료는 다양한 공정 화학물질 및 온도와의 호환성을 위해 선택될 수 있다. 사용될 수 있는 재료의 예는 hastelloy C22와 2등급 티타늄이다. 밀폐 요소는 내식성을 더 향상시키기 위해 코팅(예, ALD로 증착된 Al2O3)될 수 도 있다. 금속으로 만들어지는 경우, 밀폐 요소는 고온 공정 온도(예, 680C)를 다룰 수 있다. 밀폐 요소를 기판 지지부의 둘레에 부착하는 데 사용되는 동일한 하드웨어가 열 격리 차폐판을 지지하는 데 사용될 수도 있다. 차폐판은 열 균일성을 향상시키기 위해 서셉터 지지부 및 히터의 아래 면을 덮는다.
도 1은 로딩 챔버(101), 반응 챔버(102)를 포함하는 반도체 처리 장치(100)의 원근 단면도로 그 사이에 밀폐요소(114)가 위치한다. 로딩 챔버(101) 및 반응 챔버(102)는 같이 공정 모듈로 간주될 수 있다. 도시된 구현예에서, 반응 챔버(101)는 로딩 챔버(102) 위에 배치된다.
반응 챔버(102)는 유입구(105)와 유출구(107)를 포함할 수 있다. 반응 챔버(102)는 복수의 유입구(105)를 포함할 수 있다. 일부 구현예에서, 반응 챔버(102)는 복수의 유출구(107)를 포함할 수 있다. 반응물 가스 및 퍼지 가스와 같은 가스는 유입구(105)를 통해 챔버(102) 내에 흐를 수 있다. 유출구(107)는 과잉의 반응물, 반응 부산물 및 퍼지 가스와 같은 가스가 유출구 (107)를 통해 챔버(102) 밖으로 흐르게 할 수 있는 배기 포트(exhaust port)를 포함할 수 있다. 반응 챔버(102)는 단일 웨이퍼 수직형 흐름 반응 챔버로서 구성될 수 있다. 반응 챔버는 ALD 챔버와 같이 가스 또는 증기 증착 챔버로서 구성될 수 있다.
로딩 챔버(101)는 하나 이상의 유입구(104)와 하나 이상의 유출구를 포함할 수 있다. 작동 시, 퍼지 가스와 같은 가스가 로딩 챔버 유입구(104)를 통해 로딩 챔버(101) 내에 흐를 수 있다. 로딩 챔버 유출구는 과잉의 반응물, 반응 부산물 및 퍼지 가스와 같은 가스가 로딩 챔버(101) 밖으로 흐르게 할 수 있는 배기 포트(exhaust port)를 포함할 수 있다. 반응 챔버 배출구는 반응 챔버(102) 아래의 위치로부터 가스를 배출하도록 구성될 수 있으며, 따라서 반응 챔버 유출구(107)로부터 분리될 수 있다. 일부 구현예에서, 로딩 챔버는 반응 챔버 유출구(107)과 분리된 배기 유출구를 가질 수 없다. 일부 구현예에서 가스는 로딩 챔버에서 반응 챔버를 통해 그 안으로 흐르면서 반응 챔버 유출구(107) 밖으로 배기될 수 있다. 로딩 챔버는 게이트 밸브(111)을 포함할 수 있다. 게이트 밸브(111)는 기판을 로딩 챔버(101)에 로딩 및 로딩 챔버로부터 언로딩하도록 할 수 있다. 게이트 밸브(111)는 예를 들어 이송 챔버, 로드 록, 처리 챔버, 클린룸 등으로부터 로딩 챔버(101)로의 접근을 허용할 수 있다. 게이트 밸브(111), 유입구(104, 105) 및 유출구(107)의 위치와 같이 도시된 구성은 예시적인 것이며, 예를 들어 반응 챔버(102)에서 수행될 공정, 원하는 가스 흐름 경로 등에 기반하여 조정될 수 있다. 예를 들어, 로딩 챔버로의 유입구(104)는 게이트 밸브(111)로부터 분리될 수 있다.
장치(100)는 반도체 공정 제품(W)(예, 실리콘 기판)과 같은 기판을 수용하고 지지하도록 구성된 기판 지지부(108)를 포함할 수 있다. 공정 제품(W)은 로보트의 엔드 이펙터와 같은 다양한 방법으로 기판 지지부(108) 위로 로딩되고, 기판 지지부에서 언로딩될 수 있다. 기판 지지부(108)는 다양한 구성의 기판 고정부(substrate retention portion)를 포함할 수 있다. 예를 들어, 기판 지지부(108)는 패들 또는 포크로 공정 제품(W)의 로딩 및 언로딩을 돕기 위해 리프트-핀 및/또는 컷아웃을 포함할 수 있다. 기판 지지부(108)는 로딩 후에 소정 위치에 공정 제품(W)을 유지하는 진공 시스템을 포함할 수 있거나, 중력만으로 공정 제품(W)을 유지할 수 있다. 기판 고정부는 그 상부 표면에 형성되어 공정 제품(W)을 유지하도록 크기와 모양이 조절된 리세스(109)를 포함할 수 있으며, 리세스(109) 직경은 300 mm 내지 500 mm이다. 일부 구현예에서 리세스(109) 직경은 300 mm 내지 305 mm 이다. 일부 구현예에서 리세스(109) 직경은 450 mm 내지 455 mm 이다. 일부 구현예에서 기판 지지부(108)는 hastelloy C22 또는 2등급 티타늄을 포함하는 재료를 포함할 수 있다. 기판 지지부(108)는 Al2O3 코팅을 포함할 수 있다. 기판 지지부(108)는 현재 기술된 바와 같이 로딩 및 처리 위치 사이에서 이동 가능할 수 있다.
도 2a 및 도 2b 는 도 1의 반도체 처리 장치(100)의 정면 단면도를 나타낸다. 도 2a는 처리(예, 상승 또는 상부) 위치에 있는 기판 지지부(108)를 갖는 장치(100)를 나타낸다. 도 2b는 로딩(예, 하강 또는 하부) 위치에 있는 기판 지지부(108)를 도시한다. 나타난 바와 같이, 반응 챔버(102)는 개구(150)를 갖는 베이스플레이트(112)를 포함할 수 있다. 베이스플레이트(112)와 개구(150)는 로딩 챔버(101) 내의 로딩 공간(121)과 반응 챔버(102)의 반응 구역(122) 사이에 대략적으로 위치될 수 있다.
장치(100)는 기판 지지부(108)와 따라서 기판 지지부(108) 상에 배치된 공정 제품(W)을 개구(150)를 향해 그리고 개구로부터 멀리 이동시키도록 구성되는 이동 요소(110)를 포함할 수 있다. 따라서, 이동 요소(110)는 도 2a에 나타낸 처리 위치와 도 2b에 나타낸 로딩 위치 사이에서 기판 지지부(108)를 이동시킬 수 있다. 기판 지지부(108)가 처리 위치에 있을 때, 챔버(102) 내에서 공정을 허용하도록 반응 구역(122)이 형성될 수 있다. 이동 요소(110)는 후술되는 바와 같이 챔버(102)의 내부와 챔버(102)의 외부(예, 로딩 챔버(101)) 사이의 밀폐부를 형성하도록 기판 지지부(108)를 개구(150)를 향해 이동시키도록 구성될 수 있다. 이동 요소(110)는 기판 지지부(108)를 이동시키도록 구성되는 임의의 적절한 구동 메카니즘을 포함할 수 있다.
전술한 바와 같이, 반응 챔버(102)는 복수의 유입구(105)를 포함하도록 구성될 수 있다. 유입구(105)는 가스를 하향 흐름 형성 방식으로(예, 샤워 헤드) 유도하도록 구성될 수 있다. 예를 들어, 유입구(들)(105)는 기판 지지부(108)의 실질적으로 평탄한 상부 표면 및 지지부(108) 상에 위치된 기판(W)에 대해서 실질적으로 일부 비-평행한(예, 비-수평) 각도로 가스를 유도시킬 수 있다. 이러한 방식으로, 유입구(105)는 기판 지지부(108) 및 기판(W)을 향하여 가스가 실질적으로 하향의 비-평행한 흐름 경로를 형성하도록 구성될 수 있다.
유입구 및 유출구는 본원에 기술된 밀폐부 특징과 조합하여 오염을 감소시키고 균일성을 향상시키도록 유리하게 위치될 수 있다. 예를 들어, 유입구(105)는 (기판 지지부(108)의 중심선(900)에 대해) 유출구(107)로부터 방사상으로 안쪽에 배치될 수 있다. 일부 구현예에서, 유출구(107)는 장치(100)의 상부에 형성된 링 형상의 공동(cavity)과 같은 링 형상을 갖는 배기 링(exhaust ring)을 포함할 수 있다. 배기 링은 기판 지지부(108) 주위에 연장될 수 있다. 유출구(107)가 링 형상의 공동인 일부 구현예에서, 유출구(107)는 반응 챔버(102)의 방사상 최외곽 가장자리 위에 배치될 수 있다. 유출구(107)는 반응 챔버(102)에서 가스를 배기할 수 있다. 일부 구현예에서, 모든 유입구(105)는 베이스 플레이트(112)의 방사상 최내측 가장자리로부터 방사상 안쪽으로 배치된다. 일부 구현예에서, 모든 유입구(105)는 기판 지지부(108)의 방사상 최외측 가장자리로부터 방사상 안쪽에 배치된다.
장치(100)는 전술한 바와 같이 높은 리액터 압력 및/또는 높은 유속을 포함하는 반도체 제조 공정을 제공하도록 구성될 수 있다. 또한, 일부 공정은 압력 및/또는 유속의 급격한 변화를 포함할 수 있다. 이러한 시나리오에서 전술한 바와 같이, 오염을 방지하고/하거나 공정 균일성을 향상시키기 위해, 로딩 챔버(101)와 리액터 챔버(102) 사이의 흐름량을 제어하거나 제한하는 것이 이로울 수 있다. 예를 들어, 장치(100)가 처리 위치에 있을 때, 로딩 챔버(101)와 리액터 챔버(102) 사이에 기밀 밀폐부(hermetic seal)를 제공하는 것이 바람직할 수 있다. 일부 구현예에서 완전히 기밀 밀폐부를 제공하지 않으면서 이들 사이에 일부 유동량을 허용하는 밀폐부를 로딩 챔버(101)와 리액터 챔버(102) 사이에 제공하는 것이 바람직할 수 있다.
본원에 기술된 밀폐 요소의 구현예는 이들 및 다른 이점 및 기능을 제공할 수 있다. 예를 들어, 밀폐 요소는 로딩 챔버와 반응 챔버 사이의 흐름 경로를 실질적으로 제한하거나 완전히 막을 수 있다. 도 2a에 나타난 바와 같이, 밀폐 요소(114)는 베이스플레이트(112)와 기판 지지부(108) 사이에 밀폐부를 형성하도록 구성될 수 있어서 처리 챔버(102) 및 로딩 챔버(101)는 서로에 대해 실질적으로 밀폐된다. 밀폐 요소(114)는 금속을 포함할 수 있다. 밀폐 요소(114)는 유연한 격판(diaphram) 구조를 제공하기 위해 유연한 재료를 포함할 수 있다. 예를 들어, 상기 밀폐 요소(114)는 상기 유연성을 제공하기 위해 약 11,000 ksi 내지 32,000 ksi의 영율(Young's Modulus)을 갖는 재료를 포함할 수 있다. 밀폐 요소는 상기 유연성을 제공하기 위해 약 0.8 내지 약 3mm, 또는 일부 구현예에서 약 1mm 내지 약 3mm의 두께를 갖는 형성된 금속 시트를 포함할 수 있다. 하나 이상의 밀폐 요소에 대한 두께의 추가적인 범위가 아래에서 설명된다. 일부 구현예에서 밀폐 요소(114)는 hastelloy C22 또는 2등급 티타늄을 포함하나 이에 제한되지 않는 재료를 포함할 수 있다. 밀폐 요소(114)는 적어도 하나의 Al2O3, 또는 이트륨 옥사이드 코팅을 포함할 수 있다.
도 3a 내지 도 3d는 반도체 처리 장치(100)의 일 구현예에 따라 밀폐 요소(114)가 베이스플레이트(112) 및 기판 지지부(108)와 어떻게 상호 작용하여 밀폐부를 형성하는지를 도시한다.
도 3a는 처리 위치에서, 밀폐 요소(114)의 일 구현예를 갖는 도 2a의 장치(100) 부위의 확대도를 도시한다. 장치(100)가 처리 위치에 있을 때, 장치(100)는 처리 챔버(102)와 로딩 챔버(101) 사이에 갭(116) 및 흐름 경로(901)와 같은 흐름 경로를 제공하는 갭을 포함할 수 있다. 예를 들어, 갭(116)은 기판 지지부(108)와 베이스플레이트(112) 사이에 배치될 수 있다. 갭(116)은 나타낸 바와 같이 기판 지지부(108)와 베이스플레이트(112) 사이에서 방사상으로(예, 수평으로) 연장될 수 있다. 일부 구현예에서, 기판 지지부(108) 및 베이스플레이트(112)는 이들 2개의 구성 요소 사이의 임의의 수직 갭이 결여되도록 구성될 수 있다. 예를 들어, 베이스 플레이트(112)의 내부 둘레는 기판 지지부(108)의 외부 둘레보다 큰 방사상의 위치에 위치될 수 있다. 이러한 구현예에서, 본원의 다른 곳에서 설명된 밀폐부는 기판 지지부(108)와 베이스플레이트(112) 사이에 실질적인 수직 갭 없이 형성될 수 있다.
밀폐 요소(114)는 베이스플레이트(112)와 기판 지지부(108) 부위 사이의 갭(116)을 덮거나 브리지하도록 구성될 수 있다. 밀폐 요소(114)는 챔버(101, 102) 사이의 밀폐부(118)를 생성할 수 있다. 밀폐부(118)는 밀폐 요소(114)의 부위와 다른 구성 요소 사이의 직접적인 접촉을 통해 형성된 접촉 밀폐부일 수 있다. 접촉 밀폐부는 금속간 접촉 밀폐부일 수 있다. 밀폐부(118)는 가스가 로딩 챔버(101)에서 반응 챔버(102)로 흐르는 것을 실질적으로 방지할 수 있다. 이러한 방식으로, 밀폐부(118)는 반응 챔버(102)와 로딩 챔버(101) 사이에서 공정 가스 흐름이 완전히 또는 부분적으로 제한되도록 로딩 챔버(101)로부터 반응 챔버(102)를 실질적으로 유체 격리시킬 수 있다. 예를 들어, 밀폐부(118)는 갭(116) 내에서 흐름 경로(901)를 통한 가스 흐름을 제한할 수 있다. 본원에 기술된 밀폐 요소의 구현예는 다른 구성 요소 사이에 형성된 다른 흐름 경로를 통한 흐름을 제한하고 수평 또는 방사상으로 연장되는 갭보다는 다른 갭 구성을 덮거나 밀폐하도록 구현될 수 있다.
전술한 바와 같이, 로딩 챔버(101)와 처리 챔버(102) 사이에 일부 제한된 양의 흐름을 허용하는 밀폐부를 제공하는 것이 이로울 수 있다. 일부 구현예에서 밀폐 요소(114)는 이러한 이점을 제공하기 위해서 하나 이상의 애퍼처(120)를 포함할 수 있다. 애퍼처(120)는 본원에 기술된 밀폐 요소를 통해 다수의 상이한 형상, 크기, 수량 및 위치 중 임의의 것일 수 있다. 일부 구현예에서, 애퍼처(120)는 밀폐 요소(514)에서 홀(예, 둥근 홀) 형상을 가질 수 있다. 일부 구현예에서, 애퍼처(120)는 슬릿과 같은 형상을 가질 수 있다. "슬릿(slit)" 및 "슬롯(slot)"은 본원에서 상호 교환적으로 사용되고, 그 폭보다 큰 길이를 갖는 일반적으로 원형이 아닌 긴 애퍼처를 지칭한다. 일부 구현예에서, 애퍼처는 밀폐 요소(114)의 가장자리 주위에 (완전히 폐쇄되지 않은 개방된 둘레를 형성하는 "노치(notch)" 또는 다른 구조를 형성하기 위해) 위치될 수 있는 반면에, 다른 구현예에서 밀폐 요소(114)의 가장자리로부터 충분한 거리를 두고 애퍼처 주위에 완전히 감싼 둘레를 형성한다.
나타난 바와 같이, 애퍼처(120)는 노치를 형성하도록 밀폐 요소(114)의 외측 가장자리에 형성될 수 있다. 예를 들어, 애퍼처(120)는 밀폐 요소(114)의 외측 가장자리 둘레에 (예, 균일하게) 이격될 수 있다. 애퍼처(120)는 흐름 경로(901)가 밀폐 요소(114)를 통해 연장되도록 할 수 있다. 밀폐 요소(114)를 통해 가스가 통과하는 경로를 허용하고 애퍼처(120)의 구성을 제어함으로써, 애퍼처(120)는 챔버(101 및 102) 사이에 허용된 가스 흐름양을 제어하는 데 사용될 수 있다. 예를 들어, 애퍼처(120)는 로딩 챔버(101)로부터 반응 챔버(102) 내로의 퍼지 가스의 양을 제어할 수 있다.
본원의 갭, 밀폐부, 밀폐 요소 및 다른 구성 요소의 구현예는 향상된 성능을 제공하도록 서로 또는 다른 구성 요소에 대해 유리하게 위치될 수 있다. 예를 들어, 도 2a 및 도 3a를 참조하면, 배기 포트(107)는 기판 지지부(108)의 중심으로부터 거리(D1)에 위치될 수 있다. 밀폐부(118)는 기판 지지부(108)의 중심으로부터 거리(D2)에 위치될 수 있다. 애퍼처(120)는 기판 지지부(108)의 중심으로부터 거리(D3)에 위치될 수 있다. 도 3a에서 거리(D2)와 거리(D3)는 동일하게 나타나지만 서로에 대해 같거나 다를 수 있다. 베이스플레이트(112)의 내측 가장자리는 기판 지지부(108)의 중심으로부터 거리(D4)에 위치될 수 있다. 갭(116)은 기판 지지부(108)의 중심으로부터 거리(D5)에 위치될 수 있다. 기판 지지부(108)의 외측 가장자리는 기판 지지부(108)의 중심으로부터 거리(D6)에 위치될 수 있다. 기판 고정 부(109)의 외측 가장자리는 기판 지지부(108)의 중심으로부터 거리(D7)에 위치될 수 있다.
일부 구현예에서, 밀폐부(108)는 기판 지지부(108)의 중심으로부터 방사상 거리(D2)에 위치될 수 있으며, 기판 지지부(108)의 외측 가장자리부터 중심까지의 거리(D6)보다 크다. 일부 구현예에서, 적어도 하나의 애퍼처(120) 및 밀폐부(108)는 방사상 거리(D3, D2)에 각각 위치할 수 있으며, 기판 지지부(108)의 중심에 대해 배기 포트(107)의 거리(D1) 이하이다. 일부 구현예에서, 애퍼처(120)는 기판 지지부(108)의 중심으로부터 방사상 거리(D3)에 배열될 수 있는데, 갭(116)까지의 거리(D5)보다 더 크다. 일부 구현예에서, 배기 포트(107)는 기판 지지부의 중심으로부터 방사상 거리(D1)에 위치될 수 있으며, 기판 지지부의 중심으로부터 최외측 복수의 가스 유입구(105)까지의 거리보다 더 크다. 일부 구현예에서, 복수의 가스 유입구(105)는 베이스플레이트(112)의 내부 가장자리로부터 방사상 안쪽에 위치한다(즉, 복수의 가스 유입구(105)는 거리(D4)보다 기판 지지부(108)의 중심으로부터 더 작은 거리에 있다). 일부 구현예에서, 기판 고정부(109)의 외측 가장자리와 밀폐부(118) 사이의 방사상 거리(즉, D2-D7)는 약 50 mm 내지 약 65 mm이다. 일부 구현예에서, 밀폐부(118)는 기판 지지부(108)의 중심과 기판 고정 부(109)의 외측 가장자리 사이의 거리(D7)보다 적어도 30 % 이상의 거리(D2)로 기판 지지부(108)의 중심으로부터 방사상으로 위치될 수 있다. 일부 구현예에서, 밀폐부(118)는 기판 지지부(108)의 중심과 기판 고정부(109)의 외측 가장자리 사이의 거리(D7)보다 적어도 30 % 내지 40 % 초과의 거리(D2)로 기판 지지부(108)의 중심으로부터 방사상으로 위치될 수 있다. 갭(116)은 기판 지지부(108)의 중심(900)으로부터 방사상 거리(D5)에 위치될 수 있으며, 기판 지지부(108)의 중심으로부터 반응 챔버 유출구(107)의 방사상 거리(D1)보다는 작다. 일부 구현예에서, 갭(116)은 기판 고정부(109)의 외측 가장자리로부터 약 45 mm 내지 약 55 mm의 방사상 거리에 위치한다. 일부 구현예에서, 갭(116)은 약 5 mm의 폭을 포함한다. 애퍼처(120)는 기판 지지부(108)의 중심으로부터 방사상 거리(D3)에 위치될 수 있으며, 기판 지지부(108)의 중심으로부터 반응 챔버 유출구(107)의 방사상 거리(D1)보다는 작다. 일부 구현예에서, 애퍼처(120)는 밀폐 요소를 통해 약 50 sccm 내지 약 200 sccm의 총 흐름을 허용한다.
일부 구현예에서, 기판 지지부(108)는 상부와 하부를 포함할 수 있다. 이러한 구현예에서, 하부는 분리된 피스일 수 있으며 상부와 결합된다. 기판 지지부(108)의 상부는 기판 고정부(109)를 포함할 수 있다. 일부 구현예에서, 기판 지지부(108)의 하부는 기판 지지부(108)를 가열하기에 적합한 가열 요소를 포함할 수 있다. 일부 구현예에서, 장치(100)는 기판 지지부(108) 밑에 열 차폐판(130)을 포함할 수 있다. 열 차폐판(130)은 가열 요소에서 로딩 챔버(101)로의 열전달 중 적어도 일부를 차단할 수 있다. 일부 구현예에서, 밀폐 요소를 장착하도록 구성되는 장착 하드웨어는 또한 열 차폐판을 기판 지지부에 장착하는 데 사용될 수 있다.
밀폐 요소는 본원에 기술된 기능성을 제공하기에 적합한 장치(100)의 다양한 구성 요소에 대해 위치될(예, 부착될)수 있다. 밀폐 요소(114)는 나타난 바와 같이, 기판 지지부(108)의 방사상으로 연장된 상부 부위와 같이 기판 지지부(108) 부위의 아래측에 배치될(예, 부착될) 수 있다. 기판 지지부(108)에 부착될 때, 밀폐 요소(114) 부위는 베이스플레이트(112)와 접촉하여 밀폐부(118)를 형성할 수 있고 이 때 기판 지지부(108)는 처리 위치에 있다. 밀폐부(118)는 밀폐 요소(114)의 실질적인 방사상 바깥쪽 부위(외측 둘레 또는 원주와 같음)를 따라 베이스플레이트(112)와 함께 형성될 수 있다(도 3b 및 도 3c를 참조 바람).
더 강한 밀폐부(118)를 형성하기 위해, 장치(100)의 구성 요소는 서로에 대해 유리한 위치에 구성될 수 있으며, 밀폐 요소(114)와 다른 구성 요소(들) 사이에 "바이어스(bias)"를 제공하여 이를 가지고 밀폐부(118)를 형성한다. 예를 들어, 밀폐 요소(114)가 장착되는 기판 지지부(108)의 부위(나타낸 아래측 표면과 같은)는 기판 지지부(108) 상의 대응 밀폐 표면보다 약간 더 높은 수직 높이에 위치될 수 있다. 이러한 높이의 차이는 밀폐 요소(114)와 기판 지지부(108) 상의 밀폐 표면 사이에 스프링과 같은 힘 또는 "바이어스(bias)"를 제공하여 밀폐부(118)의 강도를 증가시킬 수 있다. 본원에서 "오버드라이브(overdrive)"라는 용어는 기판 지지부가 베이스플레이트에 대해 초기 밀폐 접촉점을 지나거나 이동할 때의 이 상태를 설명하기 위해 사용된다. 오버드라이브의 양은 본원에서 추가로 설명되는 바와 같이, 밀폐 요소와 베이스플레이트 및/또는 기판 지지부 사이의 바이어스에 영향을 줄 수 있고, 처리 중에 밀폐 요소에 걸쳐 허용 가능한 압력 차이를 증가시킬 수 있다. 일부 구현예에서, 기판 지지부(108)의 하부는 베이스플레이트(112) 상의 밀폐부(118)의 높이보다 약 1mm 내지 약 2mm 높은 곳에 위치될 수 있다. 일부 구현예에서, 오버드라이브가 증가되고 기판 지지부가 처리 위치에 있을 때 밀봉 요소(114)는 구부러지거나 달리 편향할 수 있다. 밀폐 요소(114)는 이러한 굽힘 및 바이어스를 제공하기 위해 가요성 금속과 같은 탄성 재료로 제조될 수 있다.
도 3b는 밀폐부(118)를 나타내는 도 3a 부위의 확대도를 나타낸다. 전술한 바와 같이, 밀폐 요소(114)는 밀폐 요소(114)의 접촉 지점과 베이스플레이트(112) 사이에 형성된 밀폐부(118)와 함께 기판 지지부(108)의 부위(예, 지지부(108)의 아래측)에 부착될 수 있다. 다른 구현예도 가능하다. 예를 들어, 밀폐 요소는 제1 구성 요소에 부착될 수 있고, 밀폐 요소와 제2 구성 요소 사이의 접촉 지점에 형성된 밀폐부를 가질 수 있다. 따라서, 예를 들어 밀폐 요소는 밀폐 요소와 기판 지지부 사이에 형성된 밀폐부를 갖는 베이스플레이트와 같은 고정된 구성 요소에 부착될 수 있다. 일부 구현예에서, 밀폐 요소(들)는 베이스플레이트와 기판 지지부 모두에 "부동(floating)" 부착부를 가질 수 있으며, 상기 밀폐 요소(들)는 이들 구성 요소들에 접촉 가능하고 유지되거나 바이어스될 수 있으나, 용접, 나사, 볼트, 접착제 등과 같은 구조적 부착 피처로는 부착되지 않는다. 이러한 구현예는 추가의 부착 메카니즘을 사용하지 않고 각각의 밀폐 요소와 베이스플레이트 사이 및 밀폐 요소와 기판 지지부 사이에 접촉 밀폐부가 형성되게 할 수 있다.
일부 구현예에서, 베이스플레이트(112)는 실질적으로 비평면 또는 만곡 표면과 같이 피크 또는 꼭지점을 형성하는 가변 높이를 갖는 표면, 또는 정점을 형성하도록 기울어진 다수의 평면 표면을 갖는 표면을 포함한다. 베이스플레이트(112)가 표면(113)과 같이 다양한 높이를 갖는 표면을 포함하는 구현예에서, 접촉 밀폐부(118)는 표면(113)의 정점(113a)과 밀폐 요소(114) 사이의 접촉 부위(들)에 형성될 수 있다. 일부 구현예에서, 밀폐 요소와 맞물림 및 밀폐하기 위한 비평면 표면 또는 만곡 표면은 접촉 밀폐부를 위한 기계적 적합성을 제공할 수 있다. 예를 들어, 밀폐 요소는 밀폐부의 접촉 영역을 증가시키기 위해 밀폐 요소가 접촉하는 구성 요소의 만곡 표면을 따라 랩핑하거나 순응할 수 있다.
도 3c는 도 3b와 유사한 구현예이나 표면(113)이 만곡된 구현예를 나타낸다. 접촉 밀폐부(118)는 만곡 표면(113)의 정점(113a)과 밀폐 요소(114) 사이의 접촉 부위(들)에 형성될 수 있다. 만곡 표면(113) 및/또는 밀폐 요소(114)가 약간의 변형을 겪은 일부 구현예에서, 접촉 밀폐부(118)는 단면으로 보았을 때의 단일 지점보다 더 큰 부분에 걸쳐 존재할 수 있다. 이러한 변형은 만곡 표면(113)과 밀폐 요소(114)를 함께 압축하기 위해 가해지는 힘의 결과로써 발생할 수 있다. 따라서, 일부 구현예에서 밀폐 요소(114)와 베이스플레이트(112) 사이에 힘이 가해질 때, 도 3c에 나타낸 구현예는 도 3b에 나타낸 것과 유사한 구성을 도출할 수 있다.
도 3d는 반도체 처리 장치(100)의 일 구현예에 따라, 밀폐 요소(114)의 상면도이다. 도 3b 및 도 3c를 참조하면, 애퍼처(120)는 밀폐 요소(114)의 둘레 주위에(예, 주변 가장자리) 위치될 수 있다. 일부 구현예에서, 애퍼처(120)는 장치(100)가 처리 위치에 있고 밀폐부(118)가 형성될 때, 표면(113)의 정점(113a)을 걸쳐 방사상으로 연장되도록 각각 구성될 수 있다(도 3b). 애퍼처(120)는 애퍼처의 방사상으로 연장되는 길이("L"로 나타냄)의 적어도 일부가 표면(113) 정점의 방사상으로 안쪽에 있도록 구성될 수 있다. 애퍼처(120)는 표면(113)의 정점(113a)의 방사상으로 안쪽의 애퍼처의 적어도 이 부위를 통해 갭(116) 내에서, 밀폐 요소(114) 및 흐름 경로(901)를 통해 가스가 흐르게 할 수 있다. 애퍼처는 갭(116)의 방사상 위치와 대략 동일하거나 그보다 큰 방사상 위치에 위치될 수 있다. 일부 구현예에서, 애퍼처는 슬릿 애퍼처(120) 대신에 홀(예, 둥근 홀)일 수 있다. 일부 구현예에서, 홀은 도 3d의 슬릿 애퍼처(120)과 동일한 배열로 구성될 수 있다.
일부 구현예에서, 애퍼처는 밀폐 요소(114)를 통해 연장되는 슬롯을 포함할 수 있으나, 많은 상이한 형상도 적합하다. 예를 들어, 애퍼처(120)는 폭(Wd)과 길이(L1)를 갖는 슬롯으로서 보여진다. 접촉 밀폐부(118)는 표면(113)의 정점(113a)이 밀폐 요소(114)와 접촉하는 곳을 나타내는 제1 원주를 형성하는 점선으로 도 3c에 도시된다. 밀폐 요소(114) 주위의 애퍼처(120)를 통해 연장되는 제2 원주는 일반적으로 접촉 밀폐부(118)의 형상에 의해 형성된 제1 원주 미만일 것이다. 일부 구현예에서, 애퍼처(120)를 포함하는 밀폐 요소(114)의 전체 원주는 접촉 밀폐부(118)를 포함하는 밀폐 요소(114)의 전체 원주보다 훨씬 작을 것이다. 일부 구현예에서, 접촉 밀폐부(118)를 포함하는 밀폐 요소(114)의 원주는 애퍼처(120)를 포함하는 밀폐 요소(114)의 원주보다 500 내지 550 배 더 크다. 일부 구현예에서, 밀폐 요소(114)는 총 12 개의 애퍼처(120)를 포함한다. 일부 구현예에서, 애퍼처(120)는 각각 약 5.5 mm 길이와 약 0.2 mm 폭을 가진다. 그러나, 당업자는 애퍼처(120)가 상이한 수 및 크기로 다양하게 나타날 수 있다는 것을 인식할 것이다. 일부 구현예에서, 애퍼처(120)의 총 유효 면적은 4 mm2 내지 6 mm2 사이이다. 일부 구현예에서, 애퍼처(120)의 총 유효 면적은 4.8 mm2으로 상기 총 유효 면적은 밀폐부(118)로부터 방사상으로 안쪽에 있는 애퍼처의 면적과 같다. 나타낸 바와 같이, 유효 면적은 길이(L)(도 3b 및 도 3c)과 애퍼처(120)의 폭(W)(도 3c)에 의해 정의된다. 일부 구현예에서, 애퍼처(120)의 총면적은 약 13.2 mm2이다.
일부 구현예에서, 밀폐 요소(114)는 밀폐 요소(114)에 형성된 제2 복수의 애퍼처(220)를 포함할 수 있다. 애퍼처(220)는 애퍼처(120)와 유사하게 또는 상이하게 구성될 수 있다. 애퍼처(220)는 제1 복수의 애퍼처(120)와 비교하여 밀폐 요소(114)의 실질적으로 방사상 내측 부위에 위치될 수 있다. 예를 들어, 밀폐 요소(114)는 밀폐 요소(114)의 내측 직경의 내측 가장자리 둘레에 이격된(예, 균일하게) 애퍼처(220)를 갖는 실질적으로 환상의 형상을 포함할 수 있다. 애퍼처(220)는 처리 동안에 밀폐 요소(114)에 걸친 온도의 차이로 인한 압축 응력을 감소시킬 수 있다.
도 4 내지 도 7은 도 1 내지 도 3c에 나타낸 장치(100)의 그러한 구현예에 대해 일부 유사한 특징 및 일부 상이한 특징을 포함할 수 있는 반도체 처리 장치의 구현예를 나타낸다. 도 4 내지 도 7의 구현예에 나타난 일부 양태는 도 1 내지 도 3c에 나타난 일부 양태와 함께 또는 대신하여 구현될 수 있고 반대의 경우도 마찬가지라는 것이 이해될 것이다.
예를 들어, 도 4 내지 도 7에 나타난 구현예는 흐름 제어 링(119)을 포함할 수 있다. 일부 구현예에서, 흐름 제어 링(119)은 기판 지지부(108)에 대해 일체식 또는 분리식 구성 요소일 수 있다. 흐름 제어 링(119)은 본원에 기술된 밀폐 요소 및 밀폐부의 구현예를 갖고서 기존 장치에 장착(retrofit)하기 위해 구현될 수 있다.
흐름 제어 링(119)은 기판 지지부(108)의 원주를 둘러쌀 수 있다. 일부 구현예에서 흐름 제어 링(119)은 hastelloy C22 또는 2등급 티타늄을 포함하나 이에 제한되지 않는 재료를 포함할 수 있다. 유동 제어 링(119)은 Al2O3 코팅을 포함할 수 있다. 도 4-7에 도시된 구현예를 포함하는 일부 구현예에서, 흐름 제어 링(119)은 기판 지지부(108) 또는 그 일부에 의해 제거되고 교체될 수 있다. 이들 구현예에서, 기판 지지부(108)는 도시된 구현예보다 방사상으로 더 크고, 기판 지지부(108)는 유동 제어 링(119)이 달리 연장될 때 방사상으로 동일한 거리까지 연장된다.
도 4는 반도체 처리 장치(200)의 반응 챔버(102)로부터 로딩 챔버(101)를 격리시키는 접촉 밀폐부(118)를 형성하는 밀폐 요소(214)의 일 구현예의 확대 단면도를 나타낸다. 일부 구현예에서 장치(100)는 흐름 제어 링(119)을 가질 수 있다. 흐름 제어 링은 기판 지지부(108)과 갭(116) 사이에 방사상으로 배치될 수 있다. 흐름 제어 링(119)은 기판 지지부(108)에 결합될 수 있다.
밀폐 요소(214)는 흐름 제어 링(119)의 아래측에 배치될 수 있다. 장치(200) 및 밀폐 요소(214)는 약간의 차이점을 제외하고 장치(100) 및 밀폐 요소(114)와 유사할 수 있다. 예를 들어, 애퍼처(120)는 갭(116)의 방사상 위치보다 작은 방사상 위치에서 밀폐 요소(214) 상에 위치될 수 있다. 수직으로 연장되는 갭(117)은 흐름 제어 링(119)과 밀폐 요소(114) 사이에 배치될 수 있다. 일부 구현예에서, 수직 갭(117)은 흐름 제어 링(119)의 하부 표면을 베이스플레이트(112)보다 높은 높이로 이동시키고 흐름 제어 링(119)의 하부 표면으로부터 밀폐 요소(214)를 휘게 함으로써 생성될 수 있다. 수직 갭(117)을 갖는 일부 구현예에서, 로딩 챔버(101)와 반응 챔버(102) 사이에서 흐름을 허용하도록 갭(116), 수직 갭(117) 및 애퍼처(120)를 통해 연장되는 흐름 경로(902)가 형성될 수 있다. 흐름 제어 링(119)으로 도시되었지만, 도 4에 도시되고 본원에 기술 된 구현예는 흐름 제어 링(119) 대신 연장된 기판 지지부(108)를 또한 포함할 수 있다.
도 5는 반도체 처리 장치(300)의 반응 챔버(102)로부터 로딩 챔버(101)를 격리시키는 접촉 밀폐부(118)를 형성하는 밀폐 요소(314)의 일 구현예의 확대 단면도를 나타낸다. 일부 구현예에서, 접촉 밀폐부(118)는 갭(116)의 상부측에 배치될 수 있다. 밀폐 요소(314)는 베이스플레이트(112)(예, 베이스플레이트 (112)의 상부 표면)에 부착될 수 있다. 밀폐 요소(314)는 흐름 제어 링(119)과 또한 접촉할 수 있고, 장치(300)가 처리 위치에 있을 때 접촉 밀폐부(118)를 형성할 수 있다. 갭(116)의 상부측에 밀폐 요소(314)를 배치함으로써, 이 설계는 밀폐 요소(314)에 하향 압력을 가할 수 있는 고압을 리액터 챔버(102) 내에서 이용할 수 있다. 밀폐 요소(314)에 가해지는 이 하향 압력은 더 강한 밀폐부를 생성할 수 있다. 도 4에 도시되지 않았지만, 밀폐 요소(314)는 갭(116) 위에(예, 유사한 방사상 위치에서) 배치된 애퍼처(120)를 포함할 수 있다. 흐름 제어 링(119)으로 도시되었지만, 도 5에 도시되고 본원에 기술 된 구현예는 흐름 제어 링(119) 대신 연장된 기판 지지부(108)를 또한 포함할 수 있다.
도 6은 반도체 처리 장치(400)의 일 구현예에 따른 접촉 밀폐부(118)의 확대도를 나타낸다. 장치(400)는 벨로우즈(218)를 포함할 수 있다. 일부 구현예에서, 베이스플레이트(112)는 벨로우즈(218)를 포함할 수 있다. 벨로우즈(218)는 밀폐 요소(414)와 접촉할 수 있다. 접촉 밀폐부(118)는 벨로우즈(218)와 밀폐 요소(414) 사이의 접촉부에서 형성될 수 있다. 일부 구현예에서, 벨로우즈(218)는 밀폐 요소(414)와 일체로 형성되거나 부착될 수 있고, 밀폐부(118)는 벨로우즈와 베이스플레이트(112) 사이에 형성될 수 있다. 일부 구현예에서, 벨로우즈(218)는 3개의 회선(convolution)을 포함할 수 있다. 벨로우즈(218)는 압축 가능할 수 있다. 벨로우즈(218)의 압축은 접촉 밀폐부(118)에 대한 컴플라이언스를 제공할 수 있다. 일부 구현예에서, 벨로우즈(218)는 1 mm 내지 2 mm까지 압축될 수 있다. 도 5에 도시되지 않았지만, 밀폐 요소(414) 및/또는 벨로우즈(218)는 가스가 밀폐 요소(414)를 통해 흐를 수 있도록 다른 구현예에서의 애퍼처(120)와 유사한 애퍼처를 포함할 수 있다. 이러한 애퍼처는 밀폐 요소(414)의 주변 가장자리 위에, 또는 조금 더 방사상으로 안쪽의 어디엔가, 예를 들어 갭(116) 아래에 배치될 수 있다. 흐름 제어 링(119)으로 도시되었지만, 도 6에 도시되고 본원에 기술 된 구현예는 흐름 제어 링(119) 대신 연장된 기판 지지부(108)를 또한 포함할 수 있다.
도 7은 반도체 처리 장치(500)의 일 구현예에 따라 반응 챔버(102)에서 로딩 챔버(101)를 격리시키는 밀폐부(318)의 확대 단면도이다. 일부 구현예에서, 밀폐 요소(314)는 탄성 또는 엘라스토머 재료를 포함할 수 있다. 일부 구현예에서, 장치(500)는 공압식 입력부(317)를 포함할 수 있다. 공압식 입력부(317)는 밀폐 요소(314)를 공압식으로 작동시킬 수 있다. 공압식 입력부(317)는 가압된 가스를 밀폐 요소(314)에 제공함으로써 밀폐 요소(314)를 작동시킬 수 있다. 일부 구현예에서, 공압식 입력부(317)는 장치(500) 부위를 통해 연장될 수 있다. 일부 구현예에서, 공압식 입력부(317)는 베이스플레이트(112)를 통해 연장될 수 있다. 일부 구현예에서, 장치는 기판 지지부(108) 및 이동 요소(110)가 로딩 위치에 있을 때 가스가 로딩 챔버(101)와 반응 챔버(102) 사이에서 흐를 수 있는 갭(316)을 포함할 것이다. 밀폐 요소(314)는 밀폐 요소(314)가 작동될 때 또는 맞물림 또는 가압 된 구성으로 베이스플레이트(112)와 흐름 제어 링(119) 사이의 갭 (316)을 가로질러 연장될 수 있다. 일부 구현예에서, 가스는 흐름 제어 링(119)과 베이스플레이트(112) 사이에 형성된 미로의 갭을 통해 로딩 챔버(101)와 반응 챔버(102) 사이에서 흐를 수 있다. 장치(500)는 밀폐부(318)를 포함할 수 있다. 밀폐부(318)는 밀폐 요소(314)가 흐름 제어 링(119)와 접촉하는 곳에 형성될 수 있다. 일부 구현예에서, 장치(100)는 로딩 위치에서 기판 지지부(108)와 흐름 제어 링(119)이 맞물리는 곳에서 제2 밀폐부(320)를 형성할 수 있다. 제2 밀폐부(320)는 금속간 밀폐부를 포함할 수 있다. 흐름 제어 링(119)으로 도시되었지만, 도 7에 도시되고 본원에 기술 된 구현예는 흐름 제어 링(119) 대신 연장된 기판 지지부(108)를 또한 포함할 수 있다. 도 7에 나타낸 것과 같은 유사한 공압식 액추에이터가 본원에 기술된 다른 구현예에서 구현될 수 있다. 예를 들어, 도 7의 것들과 유사한 공압식 입력부 및 공압식 밀폐 요소가, 도 3a 내지 도 3c에 나타낸 구현예에서의 기판 지지부(108)에 대한 밀폐부를 생성하기 위해서 베이스플레이트(112)로부터 수평으로 연장될 수 있다.
도 8a 및 도 8b는 반도체 처리 장치(600)의 구현예를 나타낸다. 장치(600)는 도 1 내지 도 7에 나타낸 장치(100, 500)의 그러한 구현예에 대해 일부 유사한 특징 및 일부 상이한 특징을 포함할 수 있다. 예를 들어, 장치(600)는 기판 지지부(508) 및 베이스플레이트(512), 그들 사이에 갭(예, 수평 갭)(516), 기판 지지부(508)와 베이스플레이트(512) 사이에 밀폐부를 형성하도록 구성되는 하나 이상의 밀폐 요소(514)(예, 금속 밀폐 요소)을 포함할 수 있으며, 본원에 설명된 다른 기판 지지부, 베이스플레이트, 갭 및 밀폐 요소와 유사하다.
장치(600)는 장치(600)가 처리 위치에 있을 때에 로딩 챔버 (501)와 리액터 챔버(502) 사이에 기밀 밀폐부를 제공하는 것이 바람직할 수 있는 추가의 구현예를 제공한다. 장치(600)는 높은 리액터 압력 및/또는 높은 유속을 포함하는 반도체 제조 공정을 제공하도록 구성될 수 있다. 또한, 일부 공정은 압력 및/또는 유속의 급격한 변화를 포함할 수 있다. 따라서, 상당한 압력 차이 및 변화를 견딜 수 있는 기밀 밀폐부를 제공하는 것이 또한 바람직할 수 있다. 장치(600)의 구현예는 2개 이상의 밀폐 요소(예, 적층된 밀폐 요소) 및/또는 단일 밀폐 요소(또는 밀폐 요소의 단일 세트)를 위한 2개의 접촉 밀폐부를 포함할 수 있다. 도 8a 내지 도 8b의 구현예에 나타난 일부 양태는 도 1 내지 도 7에 나타난 일부 양태와 함께 또는 대신하여 구현될 수 있고 반대의 경우도 마찬가지라는 것이 이해될 것이다. 예를 들어, 2개 이상의 밀폐 요소 및/또는 단일 밀폐 요소 또는 세트에 대해 2개의 접촉 밀폐부를 갖는 도 8a 및 도 8b와 관련하여 기술된 구현예는 본원의 다른 반도체 장치 내에서 유사하게 구현될 수 있다.
도 8a는 반도체 처리 장치(600)의 일 구현예의 단면도이다. 도 8a는 기판 지지부(508)가 처리 위치에 있는 구성의 반도체 장치(600)를 나타낸다. 장치(600)는 하나 이상의 밀폐 요소(514)를 포함할 수 있다. 일부 구현예에서, 장치(600)는 2개 이상의 밀폐 요소(514)를 포함할 수 있으며, 도 8b를 참조로 나타나고 후술된다.
밀폐 요소(514)는 베이스플레이트 (512)와 기판 사이의 밀폐부를 형성하기 위해 기판 지지부(508)의 상이한 부위들 또는 개입하는 구성 요소들 사이에 접촉 밀폐부를 형성할 수 있다. 예를 들어, 기판 지지부(508)는 접촉 링(510)과 같은 제1 부위를 포함 할 수 있으며, 그 위에서 밀폐 요소는 접촉 밀폐부를 형성하고, 접촉 링은 제2 지지 부위(509)와 부착된다. 접촉 링(510)은 지지 부위(509)로부터 및/또는 지지 부위(509) 위로 바깥쪽에 연장되는 부위를 포함할 수 있다. 접촉 링(510)은 기판 지지부(508) 또는 지지 부위(509)에 대해 일체식 또는 분리식 구성 요소일 수 있다. 일부 구현예에서, 접촉 링(510)은 절연 특성을 갖는 재료로 제조될 수 있다. 접촉 링(510)은 밀폐 요소(514)와 기판 지지부(508) 또는 지지 부위(509)와 같은 기판 지지부(508)의 다른 부위들 사이에 열 장벽을 제공할 수 있다. 열 장벽을 가짐으로써 밀폐 요소(들)(514)의 가속화된 열화를 방지함으로써 밀폐 요소(들)(514)의 작동 수명을 연장시킬 수 있다.
일부 구현예에서, 밀폐 요소(들)(514)는 접촉 링(510)과 같이 기판 지지부(508)에 연결되거나 결합된 일부 구성 요소 위에 놓여서 함께 움직일 수 있다. 밀폐 요소(514)는 본원의 다른 밀폐 요소와 유사하게 베이스플레이트(512)와 기판 지지부(508) 사이의 수평 갭(516)에 걸칠 수 있다.
일부 구현예에서, 장치(600)는 단일 밀폐 요소(514)의 2개(혹은 그 이상)의 상이한 부위와 다른 구성 요소 사이의 접촉 밀폐부를 2개 이상 포함하여, 베이스플레이트와 기판 지지부 사이에 밀폐부를 형성하도록 구성될 수 있다. 예를 들어 도 8a를 참조하면, 제1(예, 외측) 접촉 밀폐부(518)는 밀폐 요소(514)의 제1 부위가 베이스플레이트(512)와 접촉하는 곳(예, 베이스플레이트(512)의 하향 대면 부위를 접촉하는 밀폐 요소(514)의 상향 대면 부위)에 형성될 수 있고, 제2(예, 내측) 접촉 밀폐부(517)는 밀폐 요소(514)의 제2 부위가 기판 지지부(508)와 접촉하는 곳(예, 기판 지지부(508)의 상향 대면 부위를 접촉하는 밀폐 요소(514)의 하향 대면 부위)에 형성될 수 있다. 이러한 구현예는 밀폐 요소가 베이스플레이트와 기판 지지부 사이에 "부동(floating)" 부착부를 갖는 곳에서 가능할 수 있다. "부동(floating)" 부착부는 접촉 링(510)과 기판 지지부(508)의 지지 부위 (509) 사이와 같이, 다른 구성 요소 사이에서 구현될 수 있다.
밀폐 요소(514)는 다양한 구성의 갭(예, 갭 (516))을 유리하게 밀폐하기 위해서 상이한 단면 폭(즉, 밀폐 요소(514)의 내측 방사상 가장자리로부터 외측 방사상 가장자리까지의 거리)을 가질 수 있다. 일부 구현예에서, 밀폐 요소(514)는 32 mm의 단면 폭을 가질 수 있다. 일부 구현예에서, 밀폐 요소(514)는 30 mm 내지 34 mm의 단면 폭을 가질 수 있다. 일부 구현예에서, 밀폐 요소(514)는 26 mm 내지 38 mm의 단면 폭을 가질 수 있다. 일부 구현예에서, 밀폐 요소(514)는 20 mm 내지 40 mm의 단면 폭을 가질 수 있다. 일부 구현예에서, 밀폐 요소(514)는 40 mm 내지 50 mm의 단면 폭을 가질 수 있다. 일부 구현예에서, 밀폐 요소(514)는 1 mm 내지 26 mm의 단면 폭을 가질 수 있다. 일부 구현예에서, 밀폐 요소(514)는 10 mm 내지 26 mm의 단면 폭을 가질 수 있다. 일부 구현예에서, 밀폐 요소(514)는 15 mm 내지 26 mm의 단면 폭을 가질 수 있다. 일부 구현예에서, 밀폐 요소(514)는 20 mm 내지 26 mm의 단면 폭을 가질 수 있다. 밀폐 요소의 폭은 내측과 외측의 직경치, 및 밀폐 요소가 처리 공간과 하부 챔버로부터의 압력 차이에 대응해야 하는 강성(sitffness)의 크기에 기반하여 선택될 수 있다.
일부 구현예에서, 기판 지지부(508) 또는 접촉 링(510)(또는 개입 구성 요소)은 포켓(519)을 형성하도록 구성될 수 있다. 포켓(519)은 기판 지지부(508) 또는 접촉 링(510) 상에 대체로 L 자 형상의 공간을 포함할 수 있다. 포켓(519)은 밀폐 요소(514)를 방사상 방향으로 구속할 수 있다. 포켓(519)은 밀폐 요소(514)가 방사상 방향으로 측 이동하는 것을 방지할 수 있다. 포켓(519)은 L 자 형상으로 제한되지 않으며, 밀폐 요소(들)(514)가 기판 지지부(508) 또는 접촉 링(510) 위에 놓일 때 밀폐 요소(들)(514)의 상기 이동을 방지하도록 임의의 방식으로 구성될 수 있다. 일부 구현예에서, 포켓(519)은 약 3 mm 높이일 수 있다. 일부 구현예에서, 포켓은 약 0.1 mm 내지 약 3 mm 높이일 수 있다. 일부 구현예에서, 포켓은 약 3 mm 내지 약 5 mm 높이일 수 있다. 일부 구현예에서, 포켓은 약 5 mm 내지 약 7 mm 높이일 수 있다. 일부 구현예에서, 포켓은 약 7 mm 내지 약 10 mm 높이일 수 있다.
작동 시, 기판 지지부(508)는 도 8a에 나타낸 위치로 이동한다(상승한다). 장치(600)는 기판 지지부가 하나 이상의 접촉 밀폐부가 형성되는 지점까지 상승하도록 구성될 수 있다. 전술한 바와 같이, 장치(600)는 하나 이상의 접촉 밀폐부가 형성되는 지점을 지나서 더 이동하여, 구성 요소들 사이에 오버드라이브 및 바이어스를 생성함으로써 밀폐 요소(514)를 편향시킬 수 있다. 편향과 오버드라이브의 양은 접촉 밀폐부의 접촉력과 바이어스에 영향을 준다. 증가된 오버드라이브에 의해 생성되는 접촉력의 증가는 밀폐 요소(514)가 반대 방향으로 작용하는 공정력의 증가를 견딜 수 있게 한다. 구체적으로, 증가된 오버드라이브에 의해 생성된 접촉력의 증가는 예를 들어, 리액터 챔버(502)에서의 더 높은 압력으로 인해 증가된 압력 차이 및 작동 중에 로딩 챔버(501) 내의 더 낮은 압력에 의해 생성된 힘을 상쇄시킬 수 있다. 따라서, 증가된 오버 드라이브는 리액터 챔버(502)의 보다 높은 압력에 의해 생성된 더 큰 압력 차이를 견딜 수 있는 밀폐부를 제공할 수 있다. 오버드라이브가 없다면, 밀폐 요소(514)와 베이스플레이트(512) 사이의 외측 접촉 밀폐부(518)는 더 높은 리액터 챔버(502)의 압력으로 인해 작동 중에 손상되어, 리액터 챔버(501)에서 로딩 챔버(502)로 가스가 흐르게 할 수 있다.
오버드라이브의 양은 공정에서의 허용 압력 차이에 영향을 줄 수 있지만, 밀폐 요소의 평탄도, 컴플라이언스 및 균일성에도 영향을 줄 수 있다. 예를 들어, 오버드라이브 양은 밀폐 요소(514)의 기계적 특성에 의해 제한된다. 지나치게 많은 오버드라이브가 있는 경우, 밀폐 요소(514)는 그 로딩 또는 밀폐 성능을 잃게 하는 지점으로 항복하고/거나 변형될 수 있다. 예를 들어, 밀폐 요소(514)는 충분한 밀폐부를 형성하기에 더 이상 평평하지 않은 지점으로 변형될 수 있다. 그러나 오버드라이브가 너무 적은 경우, 증가된 압력 차이가 밀폐 손실을 초래하여 오염이나 다른 문제를 초래할 수 있다. 따라서, 평탄성의 손실 및 밀폐 성능의 저하를 초래하는 과도한 편향을 피하면서, 밀폐부를 형성하기 위해 밀폐 요소에 충분한 가요성을 갖는 접촉 밀폐부(들)에서의 증가된 오버드라이브 및 바이어스가 고려해야 할 모든 인자이다. 이러한 인자 중 일부는 예를 들어, 단일 밀폐 요소의 두께를 증가시킴으로써 조정될 수 있다. 그러나 두꺼운 단일 밀폐 요소는 주어진 오버드라이브 및 바이어스에 대한 가요성을 감소시켜 밀폐에 나쁜 영향을 미칠 수 있다.
일부 구현예에서, 다수의 밀폐 요소는 상기 인자를 처리하고 균형을 이루도록 구현될 수 있다. 다수의(예, 적층된) 밀폐 요소를 사용하면 밀폐 성능을 증가시켜 더 큰(그러나 과하지 않은) 오버 드라이브를 가능하게 하면서 접촉 밀폐부(들)에서의 가요성 및 증가된 바이어스를 제공하고, 따라서 더 큰 압력 차이를 갖고서 처리할 수 있게 한다. 다수의 밀폐 요소(514)가 있는 경우, 각각의 밀폐 요소(514)는 오버드라이브에 의해 영향을 받아서 다음 밀폐 요소(514), 베이스플레이트(512) 또는 기판 지지부(508)에 접촉력을 초래할 것이다. 각각의 밀폐 요소(514)로부터의 이들 접촉력은 함께 결합하여 밀폐 요소(514)와 베이스플레이트(512) 사이의 접촉 밀폐부(518) 사이 및/또는 밀폐 요소(514)와 기판 지지부(508) 사이의 접촉 밀폐부(517) 사이에 더 큰 바이어스를 형성한다. 따라서, 다수의 밀폐 요소(514)층을 활용함으로써, 임의의 대응하는 오버드라이브 양에 대해 밀폐 요소(514)와 밀폐부(517, 518) 사이의 바이어스 양을 증가시키는 것이 가능하다. 따라서 유리하게는, 일부 구현예에서 장치(600)는 더 높은 작동 압력 차이를 견디기 위해서 다수의 밀폐 요소(514)를 활용할 수 있다.
도 8b는 3개의 밀폐 요소(514)를 포함하는 반도체 처리 장치(600)의 일 구현예의 단면도이다. 일부 구현예에서, 장치(600)는 2개 이상의 밀폐 요소(514)를 포함할 수 있다. 2개 이상의 밀폐 요소(514)를 포함하는 일부 구현예에서, 밀폐 요소(514)는 서로의 상부에 적층될 수 있다. 밀폐 요소는 각각의 밀폐 요소가 인접한 대응 밀폐 요소와 직접 접촉하도록 적층식 구성으로 위치될 수 있다. 밀폐 요소(514)는 나타낸 바와 같이 정렬될 수 있거나, 인접한 요소의 대응 부위에 대해 오프셋된 일부 부위(외측 가장자리와 같은)를 포함할 수 있다. 일부 구현예에서, 모든 밀폐 요소(514)는 기판 지지부(508)에 의해 지지될 수 있고 기판 지지부와 함께 이동할 수 있다. 밀폐 요소(514)는 기판 지지부(508)에 의해 오버드라이브될 수 있다. 다수의 밀폐 요소(514)를 갖는 장치(600)의 구현예는 단지 하나의 유사하게 구성된 밀폐 요소를 갖는 챔버에 비해서 밀폐 요소(514)와 베이스플레이트(512) 사이의 외측 접촉 밀폐부(518)에서 더 큰 바이어스를 제공할 수 있다. 이러한 구현예는 하나의 유사하게 구성된 밀폐 요소만을 갖는 챔버에 비해 더 높은 리액터 챔버(502) 압력에 의해 초래되는 더 큰 압력 차이를 견딜 수 있는, 베이스플레이트와 서셉터 지지부 사이의 밀폐부를 유리하게 제공할 수 있다.
일부 구현예에서 밀폐 요소(514)는 홀, 슬릿, 또는 다른 애퍼처를 포함할 수 있다. 홀, 슬릿, 또는 다른 애퍼처는 공기 또는 가스가 챔버 사이에서 소통하도록 할 수 있다. 일부 구현예에서, 홀, 슬릿 또는 다른 애퍼처는 퍼지 가스를 로딩 챔버(501)에서 리액터 챔버(502)를 통해 배기 포트(507) 밖으로 흐르게 하는 데 사용될 수 있다. 홀, 슬릿, 또는 다른 애퍼처는 리액터 챔버(502)를 퍼지하는 데 사용될 수 있다. 일부 구현예에서, 밀폐 요소(514)는 티타늄으로 만들어질 수 있다. 일부 구현예에서, 밀폐 요소(514)는 니모닉(Nimonic)-90으로 만들어질 수 있다. 일부 구현예에서, 밀폐 요소(514)는 환상 형상을 형성하는 단일 애퍼처 외에 추가적인 애퍼처를 포함할 수 없다.
일부 구현예에서, 장치(600)는 2 내지 7, 또는 2 내지 5, 또는 2 내지 3의 적층된 밀폐 요소(514)를 포함할 수 있다. 일부 구현예에서, 장치(600)는 2 내지 10의 적층된 밀폐 요소(514)를 포함할 수 있다. 일부 구현예에서, 장치(600)는 2 내지 15의 적층된 밀폐 요소를 포함할 수 있다.
위 인자의 다른 것을 보충하면서 유리한 밀폐를 제공하기에 적절한 오버드라이브 양은 변할 수 있다. 일부 구현예에서, 오버드라이브의 양은 약 1.5 mm일 수 있다. 일부 구현예에서, 오버드라이브의 양은 예를 들어 약 0.1 mm 내지 약1.5 mm 사이의 1.5 mm 이하일 수 있다. 일부 구현예에서, 오버드라이브의 양은 약 0.1 mm 에서 약 6 mm일 수 있다. 일부 구현예에서, 오버드라이브의 양은 예를 들어 약 0.1 mm 내지 약 3 mm 사이의 약 4 mm 이하일 수 있다. 일부 구현예에서, 오버드라이브의 양은 예를 들어 약 1.0 mm 내지 6 mm 사이의 1.0 mm 이상일 수 있다. 일부 구현예에서, 오버드라이브의 양은 약 3 mm 에서 약 6 mm일 수 있다. 일부 구현예에서, 오버드라이브의 양은 약 1 mm 에서 약 3 mm일 수 있다. 일부 구현예에서, 오버드라이브의 양은 약 1 mm 에서 약 2 mm일 수 있다. 일부 구현예에서, 오버드라이브의 양은 예를 들어 약 3 mm 내지 약3.5 mm 사이의 약 0.1 mm 내지 3.5 mm일 수 있다. 일부 구현예에서, 오버드라이브의 양은 약 4 mm에서 약 5 mm를 포함하여 약 1 mm 에서 약 5 mm일 수 있다.
위 인자의 다른 것을 보충하면서 유리한 밀폐를 제공하기에 적절한 두께는 변할 수 있다. 밀폐 요소(514)는 약 0.1 mm 내지 약 3mm의 두께를 갖고서 형성된 금속 시트를 포함할 수 있다. 일부 구현예에서, 밀폐 요소(514)는 약 0.4 mm 내지 약 3 mm 또는 약 0.4 mm 내지 약 2 mm의 두께를 포함할 수 있다. 일부 구현예에서, 밀폐 요소(514)는 약 0.1 m 내지 약 1 mm의 두께를 포함할 수 있다. 일부 구현예에서, 밀폐 요소(514)는 약 1.5 mm의 두께를 포함할 수 있다. 일부 구현예에서, 밀폐 요소(514)는 약 0.4 mm의 두께를 포함할 수 있다.
위 인자의 다른 것을 보충하면서 하나 이상의 밀폐 요소(들)가 유리한 밀폐를 제공할 수 있는 처리 압력 차이 양은 변할 수 있다. 일부 구현예에서, 단일 밀폐 요소는 15 토르 미만의 처리 압력차로 유리하게 밀폐할 수 있다. 일부 구현예에서, (2개 이상의 밀폐 요소(514)를 갖는) 적층된 밀폐 요소 구성은 0.1 토르 내지 60 토르의 압력차로 밀폐를 실질적으로 유지할 수 있다. 일부 구현예에서, 적층된 밀폐 요소(514) 구성은 15 토르 초과, 또는 20 토르, 25 토르, 30 토르, 35 토르, 40 토르, 45 토르, 50 토르, 또는 55 토르 초과의 압력차로 밀폐를 실질적으로 유지할 수 있다. 일부 구현예에서, 적층된 밀폐 요소(514) 구성은 15 내지 20 토르의 압력차로 밀폐를 실질적으로 유지할 수 있다. 일부 구현예에서, 적층된 밀폐 요소(514) 구성은 0.1 토르 내지 40 토르의 압력차로 밀폐를 실질적으로 유지할 수 있다. 일부 구현예에서, 적층된 밀폐 요소(514) 구성은 0.1 토르 내지 30 토르의 압력차로 밀폐를 실질적으로 유지할 수 있다. 일부 구현예에서, 밀폐 요소(514)(단독 또는 적층식 구성)는 0.1 토르 내지 15 토르의 압력차로 밀폐를 실질적으로 유지할 수 있다.
위 인자의 다른 것을 보충하면서 하나 이상의 밀폐 요소(들)가 유리한 밀폐를 제공할 수 있는, 베이스플레이트 또는 서셉터 지지부와 밀폐 요소 사이에 로딩된 바이어스 힘의 양은 변할 수 있다. 일부 구현예에서, 각각의 밀폐 요소(514)는 오버드라이브에 기인하여 베이스플레이트 또는 다른 밀폐 요소(514)에 작용할 수 있는 힘으로 로딩될 수 있다. 일부 구현예에서, 각각의 밀폐 요소(514)는 오버드라이브에 기인한 10 N 내지 400 N의 힘으로 로딩될 수 있다. 일부 구현예에서, 각각의 밀폐 요소(514)는 오버드라이브에 기인한 35 N 내지 360 N의 힘으로 로딩될 수 있다. 일부 구현예에서, 각각의 밀폐 요소(514)는 오버드라이브에 기인한 35 N 내지 460 N의 힘으로 로딩될 수 있다. 일부 구현예에서, 각각의 밀폐 요소(514)는 오버드라이브에 기인한 110 N 내지 135 N의 힘으로 로딩될 수 있다. 일부 구현예에서, 각각의 밀폐 요소(514)는 오버드라이브에 기인한 150 N 내지 200 N의 힘으로 로딩될 수 있다. 일부 구현예에서, 각각의 밀폐 요소(514)는 오버드라이브에 기인한 200 N 내지 400 N의 힘으로 로딩될 수 있다.
추가 구현예
구현예 1. 개구를 포함하는 베이스플레이트를 포함하는 반응 챔버; 기판을 지지하도록 구성되는 이동 가능한 기판 지지부; 상기 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소; 상기 기판 지지부 위에 위치하고 상기 기판 지지부를 향해 가스를 하향으로 유도하도록 구성되는 복수의 가스 유입구; 및 상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부를 형성하도록 구성되는 밀폐 요소(상기 밀폐부는 상기 기판 지지부의 중심으로부터 상기 기판 지지부의 외측 가장자리보다 더 큰 방사상 거리에 위치함)를 포함하는 반도체 처리 장치.
구현예 2. 구현예1에 있어서, 상기 밀폐 요소를 통해 연장되고, 상기 밀폐 요소 아래의 위치와 상기 밀폐 요소 위의 위치 사이에 흐름 경로를 제공하도록 구성되는 복수의 애퍼처를 더 포함하는 장치.
구현예 3. 구현예1에 있어서, 상기 밀폐 요소는 금속을 포함하는 장치.
구현예 4. 구현예3에 있어서, 상기 밀폐부는 상기 베이스플레이트와 상기 기판 지지부 중 적어도 하나와 상기 밀폐 요소 사이에 금속간 접촉을 포함하는 장치.
구현예 5. 구현예3에 있어서, 상기 베이스플레이트는 벨로우즈를 포함하고, 상기 밀폐부는 상기 밀폐 요소와 상기 벨로우즈 사이에 형성되는 장치.
구현예 6. 구현예3에 있어서, 상기 밀폐 요소는 hastelloy C22 또는 2등급 티타늄 중 적어도 하나를 포함하는 가요성 격판을 포함하는 장치.
구현예 7. 구현예6에 있어서, 상기 가요성 격판은 Al2O3, ZrO, 또는 이트륨 옥사이드 중 적어도 하나의 코팅을 더 포함하는 장치.
구현예 8. 구현예1에 있어서, 배기 포트를 더 포함하되, 상기 애퍼처 및 상기 밀폐부 중 적어도 하나가 상기 기판 지지부의 중심에 대해 상기 배기 포트보다 가깝거나 상기 배기 포트와 같은 방사상 거리에 위치하는 장치.
구현예 9. 구현예8에 있어서, 상기 기판 지지부와 상기 베이스플레이트 사이에 방사상으로 연장되는 갭을 더 포함하되, 상기 애퍼처는 상기 기판 지지부의 중심으로부터 상기 갭보다 더 큰 방사상 거리에 배열되는 장치.
구현예 10. 구현예9에 있어서, 상기 밀폐부는 상기 기판 지지부와 상기 베이스플레이트 사이에 실질적인 수직 갭 없이 형성되는 장치.
구현예 11. 구현예10에 있어서, 상기 배기 포트는 상기 기판 지지부의 중심으로부터 상기 복수의 가스 유입구보다 더 큰 방사상 거리에 위치하는 장치.
구현예 12. 구현예11에 있어서, 상기 복수의 가스 유입구는 상기 베이스플레이트의 내부 가장자리로부터 방사상 안쪽에 위치하는 장치.
구현예 13. 구현예12에 있어서, 상기 복수의 가스 유입구는 샤워헤드 형성으로 배열되는 장치.
구현예 14. 구현예13에 있어서, 상기 배기 포트는 상기 기판 지지부 주위로 연장되는 배기 링을 포함하는 장치.
구현예 15. 구현예13에 있어서, 상기 복수의 가스 유입구는 상기 기판 지지부의 실질적으로 평탄한 상부 표면에 대해 실질적으로 수직으로 가스를 유도하도록 더 구성되는 장치.
구현예 16. 구현예1에 있어서, 상기 애퍼처 각각은 슬롯을 포함하는 장치.
구현예 17. 구현예16에 있어서, 상기 복수의 애퍼처는 상기 밀폐 요소의 외측 가장자리 둘레에 이격되는 장치.
구현예 18. 구현예17에 있어서, 상기 애퍼처는 상기 외측 가장자리 둘레에 균일하게 이격되는 장치.
구현예 19. 구현예17에 있어서, 상기 밀폐 요소의 방사상 내측 부위에 배열된 제2 복수의 슬롯을 더 포함하는 장치.
구현예 20. 구현예1에 있어서, 상기 애퍼처는 상기 밀폐부가 상기 베이스플레이트와 상기 기판 지지부 사이에 형성될 때에 상기 밀폐 요소를 통해 약 50 sccm 내지 약 200 sccm의 흐름을 허용하도록 구성되는 장치.
구현예 21. 구현예1에 있어서, 상기 기판 지지부는 상부 및 하부를 포함하고, 상기 밀폐 요소는 상기 기판 지지부의 상부 아래 측에 부착되는 장치.
구현예 22. 구현예1에 있어서, 상기 기판 지지부는 기판 고정부를 포함하되, 상기 기판 고정부의 외측 가장자리와 상기 밀폐부 사이의 방사상 거리가 약 50 mm 내지 약 65 mm인 장치.
구현예 23. 구현예1에 있어서, 상기 기판 지지부는 기판 고정부를 포함하되, 상기 기판 고정부는 상기 기판 지지부의 상부 표면 내로 연장되는 리세스를 포함하는 장치.
구현예 24. 구현예1에 있어서, 상기 베이스플레이트는 정점을 포함하는 표면을 포함하고, 상기 밀폐부는 상기 정점과 상기 밀폐 요소 사이에 형성되며, 상기 애퍼처는 상기 정점에 걸쳐 방사상으로 연장되는 장치.
구현예 25. 구현예24에 있어서, 만곡 표면이 상기 밀폐 요소와 접촉하는 곳의 총 원주가 상기 정점의 총 원주보다 더 큰 장치.
구현예 26. 구현예24에 있어서, 상기 밀폐부가 형성될 때의 상기 밀폐 요소를 통해 흐름을 허용하는 상기 애퍼처 부위의 총 유효 면적이 약 4 mm2 내지 약 6 mm2의 범위인 장치.
구현예 27. 구현예1에 있어서, 상기 밀폐 요소는 공압식으로 작동하는 장치.
구현예 28. 구현예1에 있어서, 상기 밀폐 요소는 제1 밀폐 요소를 포함하고, 상기 제1 밀폐 요소와 적층되는 제2 밀폐 요소를 더 포함하는 장치.
구현예 29. 구현예1에 있어서, 상기 밀폐 요소의 제1 부위는 상기 베이스플레이트와 제1 접촉 밀폐부를 형성하고, 상기 밀폐 요소의 제2 부위는 상기 기판 지지부와 제2 접촉 밀폐부를 형성하는 장치.
구현예 30. 개구를 포함하는 베이스플레이트를 포함하는 반응 챔버; 기판을 지지하도록 구성되는 이동 가능한 기판 지지부; 상기 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소; 및 상기 기판 지지부 주위로 연장되고 상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부를 형성하도록 구성되는 금속 밀폐 요소를 포함하되, 상기 밀폐 요소 아래의 위치와 상기 밀폐 요소 위의 위치 사이에 흐름 경로를 제공하도록 구성되는 복수의 애퍼처가 상기 밀폐 요소를 통해 연장되는 장치.
구현예 31. 구현예30에 있어서, 상기 밀폐부는 상기 베이스플레이트와 상기 기판 지지부 중 적어도 하나와 상기 밀폐 요소 사이에 금속간 접촉을 포함하는 장치.
구현예 32. 구현예31에 있어서, 상기 베이스플레이트는 벨로우즈를 포함하고, 상기 밀폐부는 상기 밀폐 요소와 상기 벨로우즈 사이에 형성되는 장치.
구현예 33. 구현예30에 있어서, 상기 밀폐 요소는 hastelloy C22 또는 2등급 티타늄 중 적어도 하나를 포함하는 가요성 격판을 포함하는 장치.
구현예 34. 구현예33에 있어서, 상기 가요성 격판은 Al2O3, ZrO, 또는 이트륨 옥사이드 중 적어도 하나의 코팅을 더 포함하는 장치.
구현예 35. 구현예30에 있어서, 배기 포트를 더 포함하되, 상기 애퍼처 및 상기 밀폐부 중 적어도 하나가 상기 기판 지지부의 중심에 대해 상기 배기 포트보다 가깝거나 상기 배기포트와 같은 방사상 거리에 위치하는 장치.
구현예 36. 구현예35에 있어서, 상기 기판 지지부와 상기 베이스플레이트 사이에 방사상으로 연장되는 갭을 더 포함하되, 상기 애퍼처는 상기 기판 지지부의 중심으로부터 상기 갭보다 더 큰 방사상 거리에 배열되는 장치.
구현예 37. 구현예36에 있어서, 상기 밀폐부는 상기 기판 지지부와 상기 베이스플레이트 사이에 실질적인 수직 갭 없이 형성되는 장치.
구현예 38. 구현예37에 있어서, 상기 기판 부위 위에 위치하고 상기 기판 부위를 향해 가스를 하향으로 유도하도록 구성되는 복수의 가스 유입구를 더 포함하되, 상기 배기 포트는 상기 기판 지지부의 중심으로부터 상기 복수의 가스 유입구보다 더 큰 방사상 거리에 위치하는 장치.
구현예 39. 구현예38에 있어서, 상기 복수의 가스 유입구는 상기 베이스플레이트의 내부 가장자리로부터 방사상 안쪽에 위치하는 장치.
구현예 40. 구현예39에 있어서, 상기 복수의 가스 유입구는 샤워헤드 형성으로 배열되는 장치.
구현예 41. 구현예40에 있어서, 상기 배기 포트는 상기 기판 지지부 주위로 연장되는 배기 링을 포함하는 장치.
구현예 42. 구현예40에 있어서, 상기 복수의 가스 유입구는 상기 기판 지지부의 실질적으로 평탄한 상부 표면에 대해 실질적으로 수직으로 가스를 유도하도록 더 구성되는 장치.
구현예 43. 구현예30에 있어서, 상기 애퍼처 각각은 슬롯을 포함하는 장치.
구현예 44. 구현예43에 있어서, 상기 복수의 애퍼처는 상기 밀폐 요소의 외측 가장자리 둘레에 이격되는 장치.
구현예 45. 구현예44에 있어서, 상기 애퍼처는 상기 외측 가장자리 둘레에 균일하게 이격되는 장치.
구현예 46. 구현예44에 있어서, 상기 밀폐 요소의 방사상 내측 부위에 배열된 제2 복수의 슬롯을 더 포함하는 장치.
구현예 47. 구현예30에 있어서, 상기 애퍼처는 상기 밀폐부가 상기 베이스플레이트와 상기 기판 지지부 사이에 형성될 때에 상기 밀폐 요소를 통해 약 50 sccm 내지 약 200 sccm의 흐름을 허용하도록 구성되는 장치.
구현예 48. 구현예30에 있어서, 상기 기판 지지부는 상부 및 하부를 포함하고, 상기 밀폐 요소는 상기 기판 지지부의 상부 아래 측에 부착되는 장치.
구현예 49. 구현예30에 있어서, 상기 기판 지지부의 상부 표면 내로 연장되는 리세스를 더 포함하되, 상기 리세스는 기판을 고정하도록 구성되는 장치.
구현예 50. 구현예30에 있어서, 상기 기판 지지부는 기판 고정부를 포함하되, 상기 기판 고정부의 외측 가장자리와 상기 밀폐부 사이의 방사상 거리가 약 50 mm 내지 약 65 mm인 장치.
구현예 51. 구현예30에 있어서, 상기 베이스플레이트는 정점을 포함하는 표면을 포함하고, 상기 밀폐부는 상기 정점과 상기 밀폐 요소 사이에 형성되며, 상기 애퍼처는 상기 정점에 걸쳐 방사상으로 연장되는 장치.
구현예 52. 구현예51에 있어서, 만곡 표면이 상기 밀폐 요소와 접촉하는 곳의 총 원주가 상기 정점의 총 원주보다 더 큰 장치.
구현예 53. 구현예51에 있어서, 상기 밀폐부로부터 방사상 안쪽으로 위치된 상기 애퍼처 부위의 총 유효 면적이 약 4 mm2 내지 약 6 mm2의 범위인 장치.
구현예 54. 구현예30에 있어서, 상기 밀폐 요소는 제1 밀폐 요소를 포함하고, 상기 제1 밀폐 요소와 적층되는 제2 밀폐 요소를 더 포함하는 장치.
구현예 55. 구현예30에 있어서, 상기 밀폐 요소의 제1 부위는 상기 베이스플레이트와 제1 접촉 밀폐부를 형성하고, 상기 밀폐 요소의 제2 부위는 상기 기판 지지부와 제2 접촉 밀폐부를 형성하는 장치.
구현예 56. 개구를 포함하는 베이스플레이트를 포함하는 반응 챔버; 기판을 지지하도록 구성되는 기판 고정부를 포함하는 이동 가능한 기판 지지부; 상기 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소; 및 상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부(상기 밀폐부는 상기 기판 지지부 중심으로부터 방사상으로 상기 기판 지지부의 중심과 상기 기판 고정부의 외측 가장자리 사이의 거리보다 적어도 30% 이상의 거리에 위치함)를 형성하도록 구성되는 밀폐 요소를 포함하되, 상기 밀폐 요소 아래의 위치와 상기 밀폐 요소 위의 위치 사이에 흐름 경로를 제공하도록 구성되는 복수의 애퍼처가 상기 밀폐 요소를 통해 연장되는 반도체 처리 장치.
구현예 57. 개구를 포함하는 베이스플레이트를 포함하는 반응 챔버; 기판을 지지하도록 구성되는 이동 가능한 기판 지지부; 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소; 및 상기 기판 지지부 주위로 연장되는 2개 이상의 적층된 금속 밀폐 요소(상기 금속 밀폐 요소 중 적어도 하나는 상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부를 형성하도록 구성됨)를 포함하는 반도체 처리 장치.
구현예 58. 구현예57에 있어서, 상기 2개 이상의 금속 밀폐 요소는 3개 이상의 밀폐 요소를 포함하는 반도체 처리 장치.
구현예 59. 구현예57에 있어서, 상기 2개 이상의 밀폐 요소는 서로에 대해 실질적으로 정렬되는 반도체 처리 장치.
구현예 60. 구현예57에 있어서, 상기 밀폐 요소 각각은 인접한 대응 밀폐 요소와 직 접촉하는 반도체 처리 장치.
구현예 61. 구현예57에 있어서, 상기 2개 이상의 밀폐 요소의 제1 밀폐 요소 부위는 상기 베이스플레이트와 제1 접촉 밀폐부를 형성하고, 상기 2개 이상의 밀폐 요소의 제2 밀폐 요소 부위는 상기 기판 지지부와 제2 접촉 밀폐부를 형성하는 반도체 처리 장치.
구현예 62. 구현예61에 있어서, 상기 2개 이상의 밀폐 요소는 상기 베이스플레이트와 상기 기판 지지부 중 적어도 하나에 부동 부착부로 부착되는 반도체 처리 장치.
구현예 63. 구현예62에 있어서, 상기 2개 이상의 밀폐 요소는 상기 베이스플레이트와 상기 기판 지지부 모두에 부동 부착부로 부착되는 반도체 처리 장치.
구현예 64. 구현예61에 있어서, 상기 제1 접촉 밀폐부는 외측 접촉 밀폐부이고, 상기 제2 접촉 밀폐부는 내측 접촉 밀폐부인 반도체 처리 장치.
구현예 65. 구현예64에 있어서, 상기 제1 접촉 밀폐부는 상향 대면 밀폐부이고, 상기 제2 접촉 밀폐부는 하향 대면 밀폐부인 반도체 처리 장치.
구현예 66. 구현예57에 있어서, 환상 형상을 형성하기 위해 상기 2개 이상의 적층된 밀폐 요소 각각은 그들을 통해 연장되는 애퍼처를 포함하는 반도체 처리 장치.
구현예 67. 구현예66에 있어서, 상기 2개 이상의 적층된 밀폐 요소 각각은 그들을 통해 연장되는 추가적인 애퍼처를 갖지 않는 반도체 처리 장치.
구현예 68. 구현예57에 있어서, 상기 2개 이상의 밀폐 요소는 약 0.1 내지 약 60 토르의 압력차로 밀폐하도록 구성되는 반도체 처리 장치.
구현예 69. 구현예68에 있어서, 상기 2개 이상의 밀폐 요소는 약 15 토르보다 큰 압력차로 밀폐하도록 구성되는 반도체 처리 장치.
구현예 70. 구현예57에 있어서, 상기 기판 지지부는 약 1 mm 내지 약 5 mm 사이의 오버드라이브를 제공하도록 상기 베이스플레이트에 대해 위치하는 반도체 처리 장치.
구현예 71. 구현예57에 있어서, 상기 적층된 밀폐 요소 각각은 hastelloy C22, Nimonic-90, 또는 2등급 티타늄 중 적어도 하나를 포함하는 가요성 격판을 포함하는 반도체 처리 장치.
구현예 72. 구현예57에 있어서, 상기 적층된 밀폐 요소 각각은 약 0.4 mm 내지 약 3 mm의 두께인 반도체 처리 장치.
전술은 본 발명의 일부 구현예를 상세화한다. 그러나, 전술한 내용이 텍스트에 상세하게 나타나 있더라도, 본 발명은 많은 방법으로 실시될 수 있음을 이해될 것이다. 전술한 바와 같이, 본 발명의 특정 특징부 또는 양태를 기술할 때에 구체적 용어의 사용은, 그 용어가 관련된 발명의 특징부 또는 양태의 임의의 특정 성질을 포함하는 것에 제한되기 위해서 그 용어가 본원에 다시 정의되는 것을 의미하는 것을 취하지 않아야 한다는 것을 유의해야 한다. 따라서, 본 발명의 범위는 첨부된 청구 범위 및 그 등가물에 따라 해석되어야 한다.

Claims (30)

  1. 개구를 포함하는 베이스플레이트를 포함하는 반응 챔버;
    기판을 지지하도록 구성되는 이동 가능한 기판 지지부;
    상기 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소;
    상기 기판 지지부 위에 위치하고 상기 기판 지지부를 향해 가스를 하향으로 유도하도록 구성되는 복수의 가스 유입구;
    상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부를 형성하도록 구성되는 밀폐 요소(상기 밀폐부는 상기 기판 지지부의 중심부로부터 상기 기판 지지부의 외측 가장자리보다 더 큰 방사상 거리에 위치함); 및
    상기 밀폐 요소를 통해 연장되고, 상기 밀폐 요소 아래의 위치와 상기 밀폐 요소 위의 위치 사이에 흐름 경로를 제공하도록 구성되는 복수의 애퍼처를 포함하고,
    상기 베이스플레이트는 정점을 포함하는 만곡된 표면을 포함하고, 상기 밀폐부는 상기 정점과 상기 밀폐 요소 사이에 형성되며, 상기 애퍼처는 상기 정점을 가로질러 방사상으로 연장되는 반도체 처리 장치.
  2. 삭제
  3. 제1항에 있어서, 배기 포트를 더 포함하되, 상기 애퍼처 및 상기 밀폐부 중 적어도 하나가 상기 기판 지지부의 중심에 대해 상기 배기 포트보다 가깝거나 상기 배기 포트와 같은 방사상 거리에 위치하는 반도체 처리 장치.
  4. 제3항에 있어서, 상기 기판 지지부와 상기 베이스플레이트 사이에 방사상으로 연장되는 갭을 더 포함하되, 상기 애퍼처는 상기 기판 지지부의 중심으로부터 상기 갭보다 더 큰 방사상 거리에 배열되는 반도체 처리 장치.
  5. 제4항에 있어서, 상기 밀폐부는 상기 기판 지지부와 상기 베이스플레이트 사이에 실질적인 수직 갭 없이 형성되는 반도체 처리 장치.
  6. 제5항에 있어서, 상기 배기 포트는 상기 기판 지지부의 중심으로부터 상기 복수의 가스 유입구보다 더 큰 방사상 거리에 위치하는 반도체 처리 장치.
  7. 제1항에 있어서, 상기 애퍼처 각각은 슬롯을 포함하는 반도체 처리 장치.
  8. 제1항에 있어서, 상기 애퍼처는 상기 밀폐부가 상기 베이스플레이트와 상기 기판 지지부 사이에 형성될 때에 상기 밀폐 요소를 통해 50 sccm 내지 200 sccm의 흐름을 허용하도록 구성되는 반도체 처리 장치.
  9. 제1항에 있어서, 상기 기판 지지부는 상부 및 하부를 포함하고, 상기 밀폐 요소는 상기 기판 지지부의 상부 아래 측에 부착되는 반도체 처리 장치.
  10. 삭제
  11. 제1항에 있어서, 상기 밀폐 요소는 공압식으로 작동하는 반도체 처리 장치.
  12. 개구를 포함하는 베이스플레이트를 포함하는 반응 챔버;
    기판을 지지하도록 구성되는 이동 가능한 기판 지지부;
    상기 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소; 및
    상기 기판 지지부 주위로 연장되고 상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부를 형성하도록 구성되는 금속 밀폐 요소를 포함하되, 상기 밀폐 요소 아래의 위치와 상기 밀폐 요소 위의 위치 사이에 흐름 경로를 제공하도록 구성되는 복수의 애퍼처가 상기 밀폐 요소를 통해 연장되고,
    상기 베이스플레이트는 정점을 포함하는 만곡된 표면을 포함하고, 상기 밀폐부는 상기 정점과 상기 밀폐 요소 사이에 형성되며, 상기 애퍼처는 상기 정점을 가로질러 방사상으로 연장되는 반도체 처리 장치.
  13. 제12항에 있어서, 상기 밀폐부는 상기 베이스플레이트와 상기 기판 지지부 중 적어도 하나와 상기 밀폐 요소 사이에 금속간 접촉을 포함하는 반도체 처리 장치.
  14. 삭제
  15. 제12항에 있어서, 상기 밀폐 요소는 hastelloy C22, Nimonic-90 또는 2등급 티타늄 중 적어도 하나를 포함하는 가요성 격판을 포함하는 반도체 처리 장치.
  16. 제15항에 있어서, 상기 가요성 격판은 Al2O3, ZrO, 또는 이트륨 옥사이드 중 적어도 하나의 코팅을 더 포함하는 반도체 처리 장치.
  17. 제12항에 있어서, 상기 애퍼처 각각은 슬롯을 포함하는 반도체 처리 장치.
  18. 제17항에 있어서, 상기 복수의 애퍼처는 상기 밀폐 요소의 외측 가장자리 둘레에 이격되는 반도체 처리 장치.
  19. 제18항에 있어서, 상기 애퍼처는 상기 외측 가장자리 둘레에 균일하게 이격되는 반도체 처리 장치.
  20. 개구를 포함하는 베이스플레이트를 포함하는 반응 챔버;
    기판을 지지하도록 구성된 기판 고정부를 포함하는 이동 가능한 기판 지지부;
    상기 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소; 및
    상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부(상기 밀폐부는 상기 기판 지지부 중심으로부터 방사상으로 상기 기판 지지부의 중심과 상기 기판 고정부의 외측 가장자리 사이의 거리보다 적어도 30% 이상의 거리에 위치함)를 형성하도록 구성되는 밀폐 요소를 포함하되, 상기 밀폐 요소 아래의 위치와 상기 밀폐 요소 위의 위치 사이에 흐름 경로를 제공하도록 구성되는 복수의 애퍼처가 상기 밀폐 요소를 통해 연장되고,
    상기 베이스플레이트는 정점을 포함하는 만곡된 표면을 포함하고, 상기 밀폐부는 상기 정점과 상기 밀폐 요소 사이에 형성되며, 상기 애퍼처는 상기 정점을 가로질러 방사상으로 연장되는 반도체 처리 장치.
  21. 개구를 포함하는 베이스플레이트를 포함하는 반응 챔버;
    기판을 지지하도록 구성되는 이동 가능한 기판 지지부;
    상기 기판 지지부 상에 유지된 기판을 상기 베이스플레이트의 개구를 향해 이동시키도록 구성되는 이동 요소; 및
    상기 기판 지지부 주위로 연장되는 2개 이상의 적층된 금속 밀폐 요소(상기 금속 밀폐 요소 중 적어도 하나는 상기 베이스플레이트와 상기 기판 지지부 사이에 밀폐부를 형성하도록 구성됨)를 포함하고,
    상기 밀폐 요소를 통해 연장되고, 상기 밀폐 요소 아래의 위치와 상기 밀폐 요소 위의 위치 사이에 흐름 경로를 제공하도록 구성되는 복수의 애퍼처를 더 포함하고,
    상기 베이스플레이트는 정점을 포함하는 만곡된 표면을 포함하고, 상기 밀폐부는 상기 정점과 상기 밀폐 요소 사이에 형성되며, 상기 애퍼처는 상기 정점을 가로질러 방사상으로 연장되는 반도체 처리 장치.
  22. 제21항에 있어서, 상기 2개 이상의 금속 밀폐 요소는 3개 이상의 밀폐 요소를 포함하는 반도체 처리 장치.
  23. 제21항에 있어서, 상기 밀폐 요소 각각은 인접한 대응 밀폐 요소와 직접 접촉하는 반도체 처리 장치.
  24. 제21항에 있어서, 상기 2개 이상의 밀폐 요소의 제1 밀폐 요소 부위는 상기 베이스플레이트와 제1 접촉 밀폐부를 형성하고, 상기 2개 이상의 밀폐 요소의 제2 밀폐 요소 부위는 상기 기판 지지부와 제2 접촉 밀폐부를 형성하는 반도체 처리 장치.
  25. 제24항에 있어서, 상기 2개 이상의 밀폐 요소는 상기 베이스플레이트와 상기 기판 지지부 중 적어도 하나에 부동 부착부로 부착되는 반도체 처리 장치.
  26. 제21항에 있어서, 환상 형상을 형성하기 위해 상기 2개 이상의 적층된 밀폐 요소 각각은 그들을 통해 연장되는 애퍼처를 포함하는 반도체 처리 장치.
  27. 제26항에 있어서, 상기 2개 이상의 적층된 밀폐 요소 각각은 그들을 통해 연장되는 추가적인 애퍼처를 갖지 않는 반도체 처리 장치.
  28. 제21항에 있어서, 상기 2개 이상의 밀폐 요소는 0.1 내지 60 토르의 압력차로 밀폐하도록 구성되는 반도체 처리 장치.
  29. 제28항에 있어서, 상기 2개 이상의 밀폐 요소는 15 토르보다 큰 압력차로 밀폐하도록 구성되는 반도체 처리 장치.
  30. 제21항에 있어서, 상기 기판 지지부는 1 mm 내지 5 mm의 오버드라이브를 제공하도록 상기 베이스플레이트에 대해 위치하는 반도체 처리 장치.
KR1020180132715A 2017-11-03 2018-11-01 반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법 KR102377033B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US15/803,615 US10872803B2 (en) 2017-11-03 2017-11-03 Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US15/803,615 2017-11-03
US16/031,613 2018-07-10
US16/031,613 US10872804B2 (en) 2017-11-03 2018-07-10 Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination

Publications (2)

Publication Number Publication Date
KR20190050710A KR20190050710A (ko) 2019-05-13
KR102377033B1 true KR102377033B1 (ko) 2022-03-21

Family

ID=66327657

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180132715A KR102377033B1 (ko) 2017-11-03 2018-11-01 반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법

Country Status (4)

Country Link
US (2) US10872804B2 (ko)
KR (1) KR102377033B1 (ko)
CN (1) CN109750277B (ko)
TW (3) TWI806915B (ko)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10872803B2 (en) * 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872804B2 (en) * 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
KR20230024400A (ko) * 2020-06-17 2023-02-20 어플라이드 머티어리얼스, 인코포레이티드 고온 화학 기상 증착 덮개
US11976358B2 (en) * 2022-02-28 2024-05-07 Syskey Technology Co., Ltd. Atomic layer deposition system
TWI830583B (zh) * 2023-01-18 2024-01-21 奈盾科技股份有限公司 半導體高壓退火裝置

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004335892A (ja) * 2003-05-09 2004-11-25 Asm Japan Kk 薄膜形成装置
KR101277036B1 (ko) * 2005-11-18 2013-06-25 도쿄엘렉트론가부시키가이샤 기판 상에 증착물을 형성하는 증착 시스템
KR101751094B1 (ko) * 2012-11-15 2017-06-26 도쿄엘렉트론가부시키가이샤 성막 장치

Family Cites Families (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4270999A (en) 1979-09-28 1981-06-02 International Business Machines Corporation Method and apparatus for gas feed control in a dry etching process
US5242501A (en) 1982-09-10 1993-09-07 Lam Research Corporation Susceptor in chemical vapor deposition reactors
JPS6055478B2 (ja) 1982-10-19 1985-12-05 松下電器産業株式会社 気相成長方法
DE3411208A1 (de) 1984-03-27 1985-10-10 Leybold-Heraeus GmbH, 5000 Köln Haltevorrichtung fuer substrate, insbesondere in vakuum-beschichtungsanlagen
US4560420A (en) 1984-06-13 1985-12-24 At&T Technologies, Inc. Method for reducing temperature variations across a semiconductor wafer during heating
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US5198034A (en) 1987-03-31 1993-03-30 Epsilon Technology, Inc. Rotatable substrate supporting mechanism with temperature sensing device for use in chemical vapor deposition equipment
US4828224A (en) 1987-10-15 1989-05-09 Epsilon Technology, Inc. Chemical vapor deposition system
US5435682A (en) 1987-10-15 1995-07-25 Advanced Semiconductor Materials America, Inc. Chemical vapor desposition system
US4978567A (en) 1988-03-31 1990-12-18 Materials Technology Corporation, Subsidiary Of The Carbon/Graphite Group, Inc. Wafer holding fixture for chemical reaction processes in rapid thermal processing equipment and method for making same
US4986215A (en) 1988-09-01 1991-01-22 Kyushu Electronic Metal Co., Ltd. Susceptor for vapor-phase growth system
US4889609A (en) 1988-09-06 1989-12-26 Ovonic Imaging Systems, Inc. Continuous dry etching system
JPH07101685B2 (ja) 1989-01-26 1995-11-01 富士通株式会社 マイクロ波プラズマ処理装置
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
US5077875A (en) 1990-01-31 1992-01-07 Raytheon Company Reactor vessel for the growth of heterojunction devices
JPH0410529A (ja) 1990-04-27 1992-01-14 Shin Etsu Handotai Co Ltd サセプタ及びウエーハ自動脱着装置
JP2644912B2 (ja) 1990-08-29 1997-08-25 株式会社日立製作所 真空処理装置及びその運転方法
JP3020567B2 (ja) 1990-08-20 2000-03-15 アネルバ株式会社 真空処理方法
JPH04118925A (ja) 1990-09-10 1992-04-20 Fujitsu Ltd 複合型処理装置
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
JPH0590238A (ja) 1991-09-27 1993-04-09 Dainippon Screen Mfg Co Ltd 回転式基板処理装置の基板回転保持具
US5429498A (en) 1991-12-13 1995-07-04 Tokyo Electron Sagami Kabushiki Kaisha Heat treatment method and apparatus thereof
JP3131005B2 (ja) 1992-03-06 2001-01-31 パイオニア株式会社 化合物半導体気相成長装置
JP3176118B2 (ja) 1992-03-27 2001-06-11 株式会社東芝 多室型基板処理装置
US5803977A (en) 1992-09-30 1998-09-08 Applied Materials, Inc. Apparatus for full wafer deposition
US5444217A (en) 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
NL9300389A (nl) 1993-03-04 1994-10-03 Xycarb Bv Substraatdrager.
KR100267617B1 (ko) 1993-04-23 2000-10-16 히가시 데쓰로 진공처리장치 및 진공처리방법
JPH0718438A (ja) 1993-06-17 1995-01-20 Anelva Corp 静電チャック装置
US5647945A (en) 1993-08-25 1997-07-15 Tokyo Electron Limited Vacuum processing apparatus
US5616208A (en) 1993-09-17 1997-04-01 Tokyo Electron Limited Vacuum processing apparatus, vacuum processing method, and method for cleaning the vacuum processing apparatus
JP3050354B2 (ja) 1993-09-20 2000-06-12 東京エレクトロン株式会社 処理方法
JP3394293B2 (ja) 1993-09-20 2003-04-07 株式会社日立製作所 試料の搬送方法および半導体装置の製造方法
US5588827A (en) 1993-12-17 1996-12-31 Brooks Automation Inc. Passive gas substrate thermal conditioning apparatus and method
JP3486821B2 (ja) 1994-01-21 2004-01-13 東京エレクトロン株式会社 処理装置及び処理装置内の被処理体の搬送方法
JP3165322B2 (ja) * 1994-03-28 2001-05-14 東京エレクトロン株式会社 減圧容器
JP3254482B2 (ja) 1994-03-31 2002-02-04 東京エレクトロン株式会社 プラズマ処理装置及びそのクリーニング方法
JPH07283147A (ja) 1994-04-15 1995-10-27 Toshiba Corp 薄膜形成方法
US5934856A (en) 1994-05-23 1999-08-10 Tokyo Electron Limited Multi-chamber treatment system
GB9410567D0 (en) 1994-05-26 1994-07-13 Philips Electronics Uk Ltd Plasma treatment and apparatus in electronic device manufacture
US5730801A (en) 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
JPH0878347A (ja) 1994-09-06 1996-03-22 Komatsu Electron Metals Co Ltd エピタキシャル成長装置のサセプタ
US5746875A (en) 1994-09-16 1998-05-05 Applied Materials, Inc. Gas injection slit nozzle for a plasma process reactor
US5514439A (en) 1994-10-14 1996-05-07 Sibley; Thomas Wafer support fixtures for rapid thermal processing
US5651868A (en) 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
JP3082603B2 (ja) 1994-11-22 2000-08-28 住友金属工業株式会社 ウエハ搬送装置
WO1996025760A1 (fr) 1995-02-15 1996-08-22 Hitachi, Ltd. Procede et machine de fabrication de semiconducteurs
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
JP3270852B2 (ja) 1995-04-20 2002-04-02 東京エレクトロン株式会社 圧力調整装置及びこれを用いた部屋の連通方法
TW323387B (ko) * 1995-06-07 1997-12-21 Tokyo Electron Co Ltd
JP3288200B2 (ja) 1995-06-09 2002-06-04 東京エレクトロン株式会社 真空処理装置
TW283250B (en) 1995-07-10 1996-08-11 Watkins Johnson Co Plasma enhanced chemical processing reactor and method
JPH0936049A (ja) 1995-07-21 1997-02-07 Mitsubishi Electric Corp 気相成長装置およびこれによって製造された化合物半導体装置
US6093252A (en) 1995-08-03 2000-07-25 Asm America, Inc. Process chamber with inner support
US6113702A (en) 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
AU6962196A (en) 1995-09-01 1997-03-27 Advanced Semiconductor Materials America, Inc. Wafer support system
US5997588A (en) 1995-10-13 1999-12-07 Advanced Semiconductor Materials America, Inc. Semiconductor processing system with gas curtain
US5853214A (en) 1995-11-27 1998-12-29 Progressive System Technologies, Inc. Aligner for a substrate carrier
US5805408A (en) * 1995-12-22 1998-09-08 Lam Research Corporation Electrostatic clamp with lip seal for clamping substrates
US5820692A (en) 1996-01-16 1998-10-13 Fsi Interntional Vacuum compatible water vapor and rinse process module
TW506620U (en) * 1996-03-15 2002-10-11 Asahi Glass Co Ltd Low pressure CVD apparatus
US5980195A (en) 1996-04-24 1999-11-09 Tokyo Electron, Ltd. Positioning apparatus for substrates to be processed
US5761023A (en) 1996-04-25 1998-06-02 Applied Materials, Inc. Substrate support with pressure zones having reduced contact area and temperature feedback
US6001183A (en) 1996-06-10 1999-12-14 Emcore Corporation Wafer carriers for epitaxial growth processes
US6245152B1 (en) 1996-07-05 2001-06-12 Super Silicon Crystal Research Institute Corp. Method and apparatus for producing epitaxial wafer
EP0821395A3 (en) 1996-07-19 1998-03-25 Tokyo Electron Limited Plasma processing apparatus
AUPO129096A0 (en) 1996-07-26 1996-08-22 Boc Gases Australia Limited Oxygen dissolver for pipelines or pipe outlets
US5653808A (en) 1996-08-07 1997-08-05 Macleish; Joseph H. Gas injection system for CVD reactors
US5810942A (en) 1996-09-11 1998-09-22 Fsi International, Inc. Aerodynamic aerosol chamber
US5788778A (en) 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6048154A (en) 1996-10-02 2000-04-11 Applied Materials, Inc. High vacuum dual stage load lock and method for loading and unloading wafers using a high vacuum dual stage load lock
US5879574A (en) 1996-11-13 1999-03-09 Applied Materials, Inc. Systems and methods for detecting end of chamber clean in a thermal (non-plasma) process
US6224312B1 (en) 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5887117A (en) 1997-01-02 1999-03-23 Sharp Kabushiki Kaisha Flash evaporator
TW464944B (en) 1997-01-16 2001-11-21 Tokyo Electron Ltd Baking apparatus and baking method
JPH10270527A (ja) 1997-03-21 1998-10-09 Ulvac Japan Ltd 複合型真空処理装置
JPH10284360A (ja) 1997-04-02 1998-10-23 Hitachi Ltd 基板温度制御装置及び方法
US6312525B1 (en) 1997-07-11 2001-11-06 Applied Materials, Inc. Modular architecture for semiconductor wafer fabrication equipment
JP3425592B2 (ja) 1997-08-12 2003-07-14 東京エレクトロン株式会社 処理装置
US6042623A (en) 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6214116B1 (en) 1998-01-17 2001-04-10 Hanvac Corporation Horizontal reactor for compound semiconductor growth
KR19990076407A (ko) 1998-03-31 1999-10-15 윤종용 반도체장치의 제조공정에 있어서의 박막 형성방법
JPH11288992A (ja) 1998-04-06 1999-10-19 Nissin Electric Co Ltd 被処理物体搬送チャンバ
US6161311A (en) 1998-07-10 2000-12-19 Asm America, Inc. System and method for reducing particles in epitaxial reactors
US6016611A (en) 1998-07-13 2000-01-25 Applied Komatsu Technology, Inc. Gas flow control in a substrate processing system
US6409837B1 (en) 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6106634A (en) 1999-02-11 2000-08-22 Applied Materials, Inc. Methods and apparatus for reducing particle contamination during wafer transport
US6264467B1 (en) 1999-04-14 2001-07-24 Applied Materials, Inc. Micro grooved support surface for reducing substrate wear and slip formation
JP2000315720A (ja) 1999-04-28 2000-11-14 Ibiden Co Ltd セラミックス製の半導体製造用治具
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
JP4726369B2 (ja) 1999-06-19 2011-07-20 エー・エス・エムジニテックコリア株式会社 化学蒸着反応炉及びこれを利用した薄膜形成方法
US6415736B1 (en) 1999-06-30 2002-07-09 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6251195B1 (en) 1999-07-12 2001-06-26 Fsi International, Inc. Method for transferring a microelectronic device to and from a processing chamber
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
KR100767762B1 (ko) 2000-01-18 2007-10-17 에이에스엠 저펜 가부시기가이샤 자가 세정을 위한 원격 플라즈마 소스를 구비한 cvd 반도체 공정장치
JP2001284433A (ja) 2000-01-28 2001-10-12 Sony Corp 基板移載装置及び基板移載方法
JP3723712B2 (ja) 2000-02-10 2005-12-07 株式会社日立国際電気 基板処理装置及び基板処理方法
US6488778B1 (en) 2000-03-16 2002-12-03 International Business Machines Corporation Apparatus and method for controlling wafer environment between thermal clean and thermal processing
JP3676983B2 (ja) 2000-03-29 2005-07-27 株式会社日立国際電気 半導体製造方法、基板処理方法、及び半導体製造装置
US6779481B2 (en) 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
JP4422295B2 (ja) 2000-05-17 2010-02-24 キヤノンアネルバ株式会社 Cvd装置
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6634882B2 (en) 2000-12-22 2003-10-21 Asm America, Inc. Susceptor pocket profile to improve process performance
TW544775B (en) 2001-02-28 2003-08-01 Japan Pionics Chemical vapor deposition apparatus and chemical vapor deposition method
US20030019428A1 (en) 2001-04-28 2003-01-30 Applied Materials, Inc. Chemical vapor deposition chamber
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
JP2003059997A (ja) 2001-08-08 2003-02-28 Rohm Co Ltd 処理装置および処理方法
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6672864B2 (en) 2001-08-31 2004-01-06 Applied Materials, Inc. Method and apparatus for processing substrates in a system having high and low pressure areas
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6821891B2 (en) 2001-11-16 2004-11-23 Applied Materials, Inc. Atomic layer deposition of copper using a reducing gas and non-fluorinated copper precursors
US7017514B1 (en) 2001-12-03 2006-03-28 Novellus Systems, Inc. Method and apparatus for plasma optimization in water processing
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US20050000449A1 (en) 2001-12-21 2005-01-06 Masayuki Ishibashi Susceptor for epitaxial growth and epitaxial growth method
US7175713B2 (en) 2002-01-25 2007-02-13 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US7163587B2 (en) 2002-02-08 2007-01-16 Axcelis Technologies, Inc. Reactor assembly and processing method
US6899507B2 (en) 2002-02-08 2005-05-31 Asm Japan K.K. Semiconductor processing apparatus comprising chamber partitioned into reaction and transfer sections
TWI273642B (en) 2002-04-19 2007-02-11 Ulvac Inc Film-forming apparatus and film-forming method
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
JP4531557B2 (ja) 2002-05-21 2010-08-25 エーエスエム アメリカ インコーポレイテッド 半導体処理ツール内チャンバ間の相互汚染の減少
US20040009665A1 (en) 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20040009336A1 (en) 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
JP2004091848A (ja) 2002-08-30 2004-03-25 Tokyo Electron Ltd 薄膜形成装置の原料ガス供給系および薄膜形成装置
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
KR100474971B1 (ko) 2002-09-14 2005-03-10 주식회사 아이피에스 플로우타입 박막증착장치 및 그에 채용되는 인젝터 어셈블리
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
KR100862658B1 (ko) 2002-11-15 2008-10-10 삼성전자주식회사 반도체 처리 시스템의 가스 주입 장치
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
JP4257576B2 (ja) 2003-03-25 2009-04-22 ローム株式会社 成膜装置
WO2004102648A2 (en) 2003-05-09 2004-11-25 Asm America, Inc. Reactor surface passivation through chemical deactivation
JP2007523994A (ja) 2003-06-18 2007-08-23 アプライド マテリアルズ インコーポレイテッド バリヤ物質の原子層堆積
US7195679B2 (en) 2003-06-21 2007-03-27 Texas Instruments Incorporated Versatile system for wafer edge remediation
US6941963B2 (en) 2003-06-26 2005-09-13 Planar Systems, Inc. High-speed diaphragm valve for atomic layer deposition
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
EP1661161A2 (en) 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
US8152922B2 (en) 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7235482B2 (en) 2003-09-08 2007-06-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a contact interconnection layer containing a metal and nitrogen by atomic layer deposition for deep sub-micron semiconductor technology
US7699932B2 (en) 2004-06-02 2010-04-20 Micron Technology, Inc. Reactors, systems and methods for depositing thin films onto microfeature workpieces
WO2005124845A1 (ja) 2004-06-15 2005-12-29 Hitachi Kokusai Electric Inc. 基板処理装置及び半導体装置の製造方法
US7845309B2 (en) 2004-07-13 2010-12-07 Nordson Corporation Ultra high speed uniform plasma processing system
US7582181B2 (en) 2004-09-30 2009-09-01 Tokyo Electron Limited Method and system for controlling a velocity field of a supercritical fluid in a processing system
US7756599B2 (en) 2004-10-28 2010-07-13 Tokyo Electron Limited Substrate processing apparatus, program for performing operation and control method thereof, and computer readable storage medium storing the program
US7521374B2 (en) 2004-11-23 2009-04-21 Applied Materials, Inc. Method and apparatus for cleaning semiconductor substrates
JP2006176826A (ja) 2004-12-22 2006-07-06 Canon Anelva Corp 薄膜処理装置
KR101463581B1 (ko) 2005-01-18 2014-11-20 에이에스엠 아메리카, 인코포레이티드 박막 성장용 반응 시스템
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US7422636B2 (en) 2005-03-25 2008-09-09 Tokyo Electron Limited Plasma enhanced atomic layer deposition system having reduced contamination
JP2008540840A (ja) 2005-05-09 2008-11-20 エイエスエム・ジェニテック・コリア・リミテッド 複数の気体流入口を有する原子層堆積装置の反応器
US7669932B1 (en) 2006-02-28 2010-03-02 Grammer A.G. Headrest
US7794546B2 (en) 2006-03-08 2010-09-14 Tokyo Electron Limited Sealing device and method for a processing system
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
US7976898B2 (en) 2006-09-20 2011-07-12 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
JP5311776B2 (ja) * 2006-10-10 2013-10-09 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
KR101376336B1 (ko) 2007-11-27 2014-03-18 한국에이에스엠지니텍 주식회사 원자층 증착 장치
US8216380B2 (en) 2009-01-08 2012-07-10 Asm America, Inc. Gap maintenance for opening to process chamber
US8287648B2 (en) 2009-02-09 2012-10-16 Asm America, Inc. Method and apparatus for minimizing contamination in semiconductor processing chamber
KR200463893Y1 (ko) 2010-07-09 2012-12-03 김철우 전원 및 통신선로의 복합 개폐장치
US9005539B2 (en) * 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US20130337171A1 (en) * 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
US10714315B2 (en) * 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
TWI644362B (zh) * 2013-06-21 2018-12-11 應用材料股份有限公司 用於熱腔室應用及製程的光管窗口結構
US20150004798A1 (en) * 2013-06-28 2015-01-01 Lam Research Corporation Chemical deposition chamber having gas seal
EP3312871A1 (de) * 2014-02-03 2018-04-25 EV Group E. Thallner GmbH Aufnahmeeinrichtung zur aufnahme eines substratstapels
JP6541374B2 (ja) * 2014-07-24 2019-07-10 東京エレクトロン株式会社 基板処理装置
KR102615853B1 (ko) * 2015-10-15 2023-12-21 어플라이드 머티어리얼스, 인코포레이티드 기판 캐리어 시스템
TWI727024B (zh) * 2016-04-15 2021-05-11 美商應用材料股份有限公司 微體積沉積腔室
US10872804B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10872803B2 (en) * 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004335892A (ja) * 2003-05-09 2004-11-25 Asm Japan Kk 薄膜形成装置
KR101277036B1 (ko) * 2005-11-18 2013-06-25 도쿄엘렉트론가부시키가이샤 기판 상에 증착물을 형성하는 증착 시스템
KR101751094B1 (ko) * 2012-11-15 2017-06-26 도쿄엘렉트론가부시키가이샤 성막 장치

Also Published As

Publication number Publication date
CN109750277B (zh) 2022-04-12
TWI822284B (zh) 2023-11-11
TWI788842B (zh) 2023-01-01
US20210104427A1 (en) 2021-04-08
US20190139808A1 (en) 2019-05-09
TW202305937A (zh) 2023-02-01
TWI806915B (zh) 2023-07-01
TW201923898A (zh) 2019-06-16
US10872804B2 (en) 2020-12-22
TW202135165A (zh) 2021-09-16
KR20190050710A (ko) 2019-05-13
CN109750277A (zh) 2019-05-14

Similar Documents

Publication Publication Date Title
KR102377033B1 (ko) 반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법
US11626313B2 (en) Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
JP6432507B2 (ja) 成膜装置
US7635502B2 (en) ALD apparatus and method
JP4399452B2 (ja) 基板処理装置及び半導体装置の製造方法
KR100868953B1 (ko) 기판처리장치 및 반도체장치의 제조방법
TWI567228B (zh) 成膜裝置、成膜方法及非暫時性記憶媒體
KR20170007132A (ko) 기판 처리 장치
US8921237B2 (en) Method of depositing a film
CN113793911B (zh) 薄膜封装处理系统和工艺配件
US9506146B2 (en) Thin film vapor deposition method and thin film vapor deposition apparatus
JP7433178B2 (ja) 処理装置
KR20220124223A (ko) 성막 방법, 성막 장치 및 반도체 장치의 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E90F Notification of reason for final refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant