JP2004335892A - 薄膜形成装置 - Google Patents

薄膜形成装置 Download PDF

Info

Publication number
JP2004335892A
JP2004335892A JP2003132221A JP2003132221A JP2004335892A JP 2004335892 A JP2004335892 A JP 2004335892A JP 2003132221 A JP2003132221 A JP 2003132221A JP 2003132221 A JP2003132221 A JP 2003132221A JP 2004335892 A JP2004335892 A JP 2004335892A
Authority
JP
Japan
Prior art keywords
susceptor
reaction
reaction chamber
separation plate
bellows
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2003132221A
Other languages
English (en)
Other versions
JP4152802B2 (ja
Inventor
Masushige Kouno
培栄 河野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM Japan KK
Original Assignee
ASM Japan KK
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Japan KK filed Critical ASM Japan KK
Priority to JP2003132221A priority Critical patent/JP4152802B2/ja
Priority to US10/841,794 priority patent/US7267725B2/en
Publication of JP2004335892A publication Critical patent/JP2004335892A/ja
Application granted granted Critical
Publication of JP4152802B2 publication Critical patent/JP4152802B2/ja
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J15/00Sealings
    • F16J15/02Sealings between relatively-stationary surfaces
    • F16J15/06Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces
    • F16J15/08Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with exclusively metal packing
    • F16J15/0887Sealings between relatively-stationary surfaces with solid packing compressed between sealing surfaces with exclusively metal packing the sealing effect being obtained by elastic deformation of the packing
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16JPISTONS; CYLINDERS; SEALINGS
    • F16J3/00Diaphragms; Bellows; Bellows pistons
    • F16J3/04Bellows
    • F16J3/047Metallic bellows

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Mechanical Engineering (AREA)
  • General Engineering & Computer Science (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】反応エリアと搬送エリアとを完全に分離することによって残留ガスのパージ時間を大幅に短縮することが可能な薄膜形成装置を与える
【解決手段】被処理体上に薄膜を形成するための装置であって、反応チャンバと、基板搬送チャンバと、被処理体を載置しかつ加熱するためのサセプタと、サセプタと平行に対向して設置されたシャワーヘッドと、シャワーヘッドを支持する排気ダクト手段と、排気ダクト手段の底面付近にあって、底面と所定の隙間を形成するように設置された分離板と、分離板の底面若しくはサセプタの段部表面に取り付けられたベローズ手段若しくはO−リング状ガスケットと、から成り、被処理体上へ薄膜を形成するべく昇降手段によりサセプタを反応チャンバ内の反応位置まで上昇させるとき、サセプタ表面とベローズ手段若しくはO−リング状ガスケットとが係合し、それが圧縮されてシールが達成されることを特徴とする。
【選択図】図1

Description

【0001】
【発明の属する技術分野】
本発明は半導体基板上に薄膜を形成する成膜装置に関し、特に、反応チャンバと基板搬送チャンバとを完全に分離することを可能にした成膜装置に関する。
【0002】
【従来技術及び発明が解決しようとする課題】
半導体装置の高集積化に伴い、従来使用されてきたCVD(化学気相成長法)に替わり薄膜形成制御性の良いALCVD(原子層CVD)が注目されている。ALCVDは成膜に使用する複数の反応ガスを交互に半導体ウエハに吸着させ吸着層のみにて成膜を行うものであるため、数個の分子レベルからの薄膜制御が可能であり、ステップカバレッジも良好である。
【0003】
ALCVDプロセスを実行する場合、反応ガスを切り替える前に残留ガスを完全にリアクタから排気させることが重要となる。残留ガスがリアクタ内に存在していると、気相中でCVD反応が生じ、分子層レベルでの膜厚制御が困難となる。また、気相反応により生成した粒子径が大きくなることによりパーティクルが発生するといった問題がある。
【0004】
残留ガスをリアクタ内から完全に排気するために従来は長時間のパージ工程を要し、その結果生産性が低下するという問題があった。
【0005】
そこで、反応チャンバエリアと基板搬送チャンバエリアを完全に分離することでデッドスペースを減らし、残留ガスを排気するためのパージ時間を短縮する試みが為された。ひとつの例として半導体ウエハを載置したサセプタをリアクタ内壁と物理的に接触させる方法がある。しかし、この方法ではサセプタと接触相手との平行を取ることが困難であり、反応チャンバと基板搬送チャンバとを完全に分離することはできない。他の例としてサセプタの外周部にO−リングを設けシールを行う方法がある。しかし、この方法ではプロセス温度が比較的低温(約200℃)では問題ないが、高温(300℃以上)になるとO−リングが破損して使用できない。
【0006】
リアクタ内の残留ガスをパージする他の方法として、反応エリアと搬送エリアとを完全に分離せず、わずかな(0.5mm程度)の隙間を設け、搬送エリアから不活性ガスを流しながらプロセスガスの回り込みを防ぐ方法が考案された(例えば、特許文献1及び特許文献2参照)。
【0007】
【特許文献1】
米国特許第4,854,263号明細書
【0008】
【特許文献2】
特開2002−353207公報
【0009】
この方法では拡散による搬送エリアへの反応ガスの回り込みを完全に防止することはできず、搬送エリア内にCVD反応による成膜が見られた。このことはメンテナンスサイクルを早めることのほかに反応ガスの残留ガスを完全に排気できないためパーティクルが発生する危険性があることを示している。またこの方法ではパージ時間の短縮も困難である。
【0010】
さらに、特願2001−361669には反応チャンバと基板搬送チャンバとをガスシールにて分離する方法が記載されている。しかし近年の基板の大口径化に伴いより高い周波数のより高い高周波電力を使用するプロセスが多くなりつつあり、このようなプロセスにおいては、サセプタ内に埋設されたヒーター自身のインピーダンスが無視出来なくなる。その結果、接地されたリアクタとサセプタとの間の電位差が大きくなって、シールガスの電離電圧以上となると、搬送チャンバとサセプタ下部との間でプラズマ放電が生じてしまう。反応領域から拡散してくる微量の成膜ガスがこのプラズマ中で分解反応を生じ、搬送チャンバ壁若しくはロードロック室と搬送チャンバとを分離するゲートバルブに堆積物として付着する。それが剥離してパーティクル汚染源となる可能性がある。
【0011】
したがって、本発明の目的は、反応エリアと搬送エリアとを完全に分離することによって残留ガスのパージ時間を大幅に短縮することが可能な薄膜形成装置を与えることである。
【0012】
本発明の他の目的は、接地されたリアクタとサセプタとの間で電位差が生じない薄膜形成装置を与えることである。
【0013】
本発明の他の目的は、生産性が高く、パーティクル汚染の危険性の低い薄膜形成装置を与えることである。
【0014】
【課題を解決するための手段】
上記目的を達成するために本発明に係る薄膜形成装置は以下の手段から成る。
【0015】
被処理体上に薄膜を形成するための装置は、
反応チャンバと、
基板搬送チャンバと、
被処理体を載置しかつ加熱するためのサセプタであって、半径方向に伸張した段部を有するところのサセプタと、
反応チャンバと基板搬送チャンバとの間でサセプタを上下させるための昇降手段と、
反応チャンバ内にあって、サセプタと平行に対向して設置され、被処理体に向かって反応ガスを噴射するための多数の細孔を有するシャワーヘッドと、
シャワーヘッドの下部周辺にあってシャワーヘッドを支持するよう反応チャンバの側壁に沿って環状に設けられた排気ダクト手段と、
排気ダクト手段の底面付近にあって、底面と所定の隙間を形成するように設置された、排気ダクト手段と同軸の円環状の分離板と、
分離板の底面若しくはサセプタの段部表面に取り付けられた、分離板と同軸の円筒状のベローズ手段であって、その先端にシール用リングが設けられているところのベローズ手段と、
から成り、
被処理体上へ薄膜を形成するべく昇降手段によりサセプタを反応チャンバ内の反応位置まで上昇させるとき、段部の表面若しくは分離板の底面とベローズ手段のシール用リングとが係合しベローズが圧縮されてシールが達成されることを特徴とする。
【0016】
上記ベローズ手段は耐熱及び耐腐食性金属から成り、分離板の底面に溶接若しくはメタルガスケットにより結合される。
【0017】
好適には、上記ベローズ手段はアルミニウムから成る。
【0018】
変形的に、本発明に従う薄膜形成装置は、ベローズ手段と基板搬送チャンバの側壁とを電気的に接続するための導電部材を含むことができる。
【0019】
本発明の他の態様において、被処理体上に薄膜を形成するための装置は、
反応チャンバと、
基板搬送チャンバと、
被処理体を載置しかつ加熱するためのサセプタであって、半径方向に伸張した段部を有するところのサセプタと、
反応チャンバと基板搬送チャンバとの間でサセプタを上下させるための昇降手段と、
反応チャンバ内にあって、サセプタと平行に対向して設置され、被処理体に向かって反応ガスを噴射するための多数の細孔を有するシャワーヘッドと、
シャワーヘッドの下部周辺にあってシャワーヘッドを支持するよう反応チャンバの側壁に沿って環状に設けられた排気ダクト手段と、
排気ダクト手段の底面付近にあって、底面と所定の隙間を形成するように設置された、排気ダクト手段と同軸の円環状の分離板と、
分離板の底面若しくはサセプタ段部の表面に取り付けられた、管状のコイルスプリングに断面がC字型の金属製被覆を施したO−リング状のガスケットと、
から成り、
被処理体上へ薄膜を形成するべく昇降手段によりサセプタを反応位置まで上昇させるとき、段部の表面若しくは分離板の底面とガスケットとが係合しガスケットが圧縮されてシールが達成されると同時にサセプタと基板搬送チャンバとが電気的に接続されることを特徴とする。
【0020】
ここで、ガスケットはコイルスプリングの露出部が外周方向となるように、分離板の底面に取り付けられており、それによってコイルスプリングは反応ガスとの接触が防止される。
【0021】
具体的には、金属製被覆は、耐熱及び耐腐食性金属材料から成る。
【0022】
好適には、金属製被覆は、アルミニウムから成る。
【0023】
【発明の実施の態様】
以下、図面を参照しながら本発明を詳細に説明する。図1は本発明に係る薄膜形成装置の好適実施例の断面略示図である。図1(A)はロードロック室(図示せず)から半導体基板を基板搬送チャンバ内に搬入した状態を示し、図1(B)は成膜反応時の装置状態を示している。
【0024】
本発明に係る薄膜形成装置1は反応チャンバ2及び基板搬送チャンバ3から成る。薄膜形成装置1の内部には半導体基板13を載置するためのサセプタ4が与えられ、該サセプタ4は昇降手段12によって、反応チャンバ2と基板搬送チャンバ3との間を上下に移動する。サセプタ4の内部には例えばシースヒータ(図示せず)のような加熱装置が埋設されており、半導体基板13を所望の温度(50〜500℃)に加熱する。サセプタ4の下部は半径方向に伸張した段部14を形成する。サセプタ4には3本から4本の基板リフトピン9が貫通しており、基板の搬送時には半導体基板13をサセプタ上方へ持ち上げる。サセプタ4は電気的に接地されており、一方の高周波電極を兼ねている。
【0025】
反応チャンバ2の天井部にはサセプタ4と対向して平行にシャワーヘッド6が設置されている。シャワーヘッド6には数千個の細孔10が設けられ、反応ガス導入口10から導入された反応ガスは該細孔10を通じて半導体基板13に均等に噴射される。シャワーヘッド6は外部の高周波電源(図示せず)に接続され、もう一方の高周波電極を兼ねている。シャワーヘッド6は所望の温度(50℃から500℃)に維持される。
【0026】
シャワーヘッド6の下部周辺には反応チャンバ2の側壁に沿って環状の排気ダクト手段5が設けられている。排気ダクト手段5は反応チャンバ2内でシャワーヘッド6を支持すると同時に、処理済の反応ガス若しくはパージガスを外部の真空ポンプ(図示せず)へ排出するための通路を提供する。排気ダクト手段5の周囲は絶縁体によって構成されている。
【0027】
排気ダクト手段5の底面17付近には、該排気ダクト手段5と同軸に円環状の分離板7が設けられている。分離板7は絶縁体から成る。以下で詳細に説明するように、排気ダクト手段5の底面17と、当該分離板7の上面との間には所定の間隔の隙間16が形成されており、反応空間15内のガスはこの隙間16を通じて排気ダクト手段5へ流れる。
【0028】
分離板7の底面には本発明に係る円筒状のベローズ手段8が分離板7と同軸に取り付けられている。図1(B)に示されるように、半導体基板13上に薄膜を形成するべくサセプタ4を反応位置まで上昇させるとき、サセプタの段部14と当該ベローズ手段8が係合し、ベローズが圧縮されてシールが達成される。このシールによって反応チャンバ2と基板搬送チャンバ3とは完全に分離され、反応空間15内の反応ガスが基板搬送チャンバ3内に侵入することはない。
【0029】
次に、本発明に係るベローズ手段8について詳細に説明する。図2(A)は図1(B)の部位aの拡大図を示し、図2(B)はベローズ手段8の斜視図を示す。サセプタ4が反応位置にある時、分離板7の上面24及びサセプタ4の上面23はアライメントされている。分離板7の側面25とサセプタ4の側面26との間には隙間22が画成される。隙間16及び隙間22の間隔は好適には0.2〜4mmであるが、分離板7の厚み及び幅を変えることによって自由に選択可能である。分離板7の底面21にはベローズ手段8の上端が溶接若しくはメタルガスケットにより取り付けられている。ベローズ手段8は300℃以上の高温に耐えかつ反応ガス及びそのラジカルと反応しないような耐熱及び耐腐食性金属から成る。そのような材料は代表的にはアルミニウムである。ベローズ手段8の下端にはシール用リング20が設けられている。シール用リング20の断面は好適には円であるが、それ以外に楕円、三角形、ひし形等であってもよい。また、シール用リング20が係合するサセプタ4の段部14の係合面には、シール用リングの断面形状に対応した溝が形成されていてもよい。シール用リング20が段部14と線接触することによってシールが達成され、隙間22を通過したガスは完全に遮断される。
【0030】
変形的に、ベローズ手段8の上端にシール用リング20が設けられ、ベローズ手段8の下端がサセプタ4の段部14に溶接若しくはメタルガスケットにより取り付けられることもできる。その際には、サセプタ4が反応位置まで上昇した時シール用リング20が分離板7の底面21に係合しシールが達成される。
【0031】
図2(C)は本発明に係るベローズ手段の変形例を示す。ベローズ手段8の側面には水平方向に伸長する略U字形の導電部材28が取り付けられている。導電部材28の先端は基板搬送チャンバの側壁27に接触している。側壁27は導体であるため、シールした際にサセプタ4と側壁27は電気的に導通状態となる。これによって、リアクタとサセプタとの電位差が解消される。その結果、サセプタ内のヒータのインピーダンスに起因する搬送チャンバ内での不所望なプラズマの発生が抑制される。導電部材28はベローズ手段8の円周の回りにひとつ若しくはそれ以上設けることができ、略U字形以外にあらゆる形状が可能である。
【0032】
図3は、本発明に係るシール手段の他の実施例を示す。図3(A)は本発明に係るシール手段であるO−リング状ガスケット30の断面図を示す。O−リング状ガスケット30は、管状のコイルスプリング32と、断面がC字型のアルミニウム被覆31から成る。図3(B)はO−リング状ガスケット30をリアクタ内に設置した状態を示す。他の実施例において、分離板38は水平部分33と該水平部分33の先端から垂直下方に伸長する側方部分34から成る。搬送チャンバの側壁は内側に伸張する突起部分35を有する。突起部分35の厚さはO−リング状ガスケットの断面直径よりやや薄く、図3(C)に示すシール状態の時、サセプタの段部14と該突起部分35の底面37が極めて近接するように調節されている。搬送チャンバ側壁の突起部分35の上面からは水平方向内側に向かってさらに突起部分36が伸長している。突起部分36はO−リング状ガスケット30のアルミニウム被覆31とほぼ等しい厚みを有する。O−リング状ガスケット30は搬送チャンバ側壁の突起部分35と分離板38の側方部分34との間に、コイルスプリング32が露出している方が外側になるように(すなわち、アルミニウム被覆されている方が反応ガスに晒されるように)挿入される。この時、突起部分36の先端はアルミニウム被覆31と係合し、両者は電気的に導通状態となる。
【0033】
図3(C)に示されるように、サセプタ4が反応位置まで上昇すると、O−リング状ガスケット30がサセプタ4の段部14と係合し圧縮されてシールが達成される。分離板38の表面とサセプタ4の表面はアライメントされ、分離板38の側方部分34とサセプタ4の側面との間に隙間39が画成される。該隙間39の間隔は好適には0.2〜4mmであるが、分離板38の水平部分33の幅若しくは側方部分34の幅を変えることにより調節可能である。隙間39を通過したガスは、O−リング状ガスケット30によって完全に遮断され、搬送チャンバ内に侵入することが防止される。また、サセプタ4の段部14とアルミニウム被覆31が係合することによって、サセプタ4、アルミニウム被覆31及び搬送チャンバ側壁が電気的に導通状態となり、サセプタ4と搬送チャンバ側壁との間に電位差が生じなくなる。その結果、サセプタ4内のヒータのインピーダンスに起因する搬送チャンバ内での不所望なプラズマの発生が抑制される。
【0034】
変形的に、O−リング状ガスケット30の下端がサセプタ4の段部14に溶接若しくはメタルガスケットにより取り付けられることもできる。その際には、サセプタ4が反応位置まで上昇した時O−リング状ガスケット30の上端が分離板38の底面に係合しシールが達成される。
【0035】
O−リング状ガスケット30の被覆材料として、アルミニウム以外に、耐熱及び耐腐食性金属材料を使用することも可能である。
【0036】
【効果】
本発明に従う薄膜形成装置により、反応エリアと搬送エリアとを完全に分離することができ、残留ガスのパージ時間を大幅に短縮することができるようになった。
【0037】
また、本発明に従う薄膜形成装置により、リアクタとサセプタとの間で電位差が生じなくなり、搬送チャンバ内に不所望なプラズマが発生することが無くなった。
【0038】
さらに、本発明に従う薄膜形成装置により、パージ時間の短縮に伴い生産性が向上し、パーティクル汚染が低減された結果信頼性の高い半導体装置を製造することができるようになった。
【図面の簡単な説明】
【図1】図1は、本発明に従う薄膜形成装置の断面略示図であり、図1(A)は基板搬送状態を示し、図1(B)は成膜反応状態を示す。
【図2】図2(A)は、図1(B)の部位aの拡大図を示し、図2(B)は本発明に係るベローズ手段を示し、図2(C)はベローズ手段の変形例を示す。
【図3】図3(A)は、本発明に係るシール手段の他の実施例を示し、図3(B)は該シール手段を装着した状態を示し、図3(C)は該シール手段によりシールされた状態を示す。
【符号の説明】
1 薄膜形成装置
2 反応チャンバ
3 基板搬送チャンバ
4 サセプタ
5 排気ダクト手段
6 シャワーヘッド
7 分離板
8 ベローズ手段
9 基板リフトピン
10 細孔
11 反応ガス導入口
12 昇降装置
13 半導体基板
14 段部
15 反応空間
16 隙間
17 底面

Claims (8)

  1. 被処理体上に薄膜を形成するための装置であって、
    反応チャンバと、
    基板搬送チャンバと、
    前記被処理体を載置しかつ加熱するためのサセプタであって、半径方向に伸張した段部を有するところのサセプタと、
    前記反応チャンバと前記基板搬送チャンバとの間で前記サセプタを上下させるための昇降手段と、
    前記反応チャンバ内にあって、前記サセプタと平行に対向して設置され、前記被処理体に向かって反応ガスを噴射するための多数の細孔を有するシャワーヘッドと、
    前記シャワーヘッドの下部周辺にあって前記シャワーヘッドを支持するよう前記反応チャンバの側壁に沿って環状に設けられた排気ダクト手段と、
    前記排気ダクト手段の底面付近にあって、前記底面と所定の隙間を形成するように設置された、前記排気ダクト手段と同軸の円環状の分離板と、
    前記分離板の底面若しくは前記段部の表面に取り付けられた、前記分離板と同軸の円筒状のベローズ手段であって、その先端にシール用リングが設けられているところのベローズ手段と、
    から成り、
    前記被処理体上へ薄膜を形成するべく前記昇降手段により前記サセプタを前記反応チャンバ内の反応位置まで上昇させるとき、前記段部の表面若しくは前記分離板の底面と前記ベローズ手段のシール用リングとが係合し前記ベローズが圧縮されてシールが達成されることを特徴とする装置。
  2. 請求項1に記載の装置であって、前記ベローズ手段は耐熱及び耐腐食性金属から成り、前記分離板の底面に溶接若しくはメタルガスケットにより結合される、ところの装置。
  3. 請求項2に記載の装置であって、前記ベローズ手段はアルミニウムから成る、ところの装置。
  4. 請求項1に記載の装置であって、さらに、前記ベローズ手段と前記基板搬送チャンバの側壁とを電気的に接続するための導電部材を含む、ところの装置。
  5. 被処理体上に薄膜を形成するための装置であって、
    反応チャンバと、
    基板搬送チャンバと、
    前記被処理体を載置しかつ加熱するためのサセプタであって、半径方向に伸張した段部を有するところのサセプタと、
    前記反応チャンバと前記基板搬送チャンバとの間で前記サセプタを上下させるための昇降手段と、
    前記反応チャンバ内にあって、前記サセプタと平行に対向して設置され、前記被処理体に向かって反応ガスを噴射するための多数の細孔を有するシャワーヘッドと、
    前記シャワーヘッドの下部周辺にあって前記シャワーヘッドを支持するよう前記反応チャンバの側壁に沿って環状に設けられた排気ダクト手段と、
    前記排気ダクト手段の底面付近にあって、前記底面と所定の隙間を形成するように設置された、前記排気ダクト手段と同軸の円環状の分離板と、
    前記分離板の底面若しくは前記段部の表面に取り付けられた、管状のコイルスプリングに断面がC字型の金属製被覆を施したO−リング状のガスケットと、
    から成り、
    前記被処理体上へ薄膜を形成するべく前記昇降手段により前記サセプタを反応位置まで上昇させるとき、前記段部の表面若しくは前記分離板の底面と前記ガスケットとが係合し前記ガスケットが圧縮されてシールが達成されると同時に前記サセプタと前記基板搬送チャンバとが電気的に接続されることを特徴とする装置。
  6. 請求項5に記載の装置であって、前記ガスケットはコイルスプリングの露出部が外周方向となるように、前記分離板の底面に取り付けられており、それによって前記コイルスプリングは反応ガスとの接触が防止される、ところの装置。
  7. 請求項5に記載の装置であって、前記金属製被覆は、耐熱及び耐腐食性金属材料から成る、ところの装置。
  8. 請求項7に記載の装置であって、前記金属製被覆は、アルミニウムから成る、ところの装置。
JP2003132221A 2003-05-09 2003-05-09 薄膜形成装置 Expired - Lifetime JP4152802B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2003132221A JP4152802B2 (ja) 2003-05-09 2003-05-09 薄膜形成装置
US10/841,794 US7267725B2 (en) 2003-05-09 2004-05-07 Thin-film deposition apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2003132221A JP4152802B2 (ja) 2003-05-09 2003-05-09 薄膜形成装置

Publications (2)

Publication Number Publication Date
JP2004335892A true JP2004335892A (ja) 2004-11-25
JP4152802B2 JP4152802B2 (ja) 2008-09-17

Family

ID=33410611

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2003132221A Expired - Lifetime JP4152802B2 (ja) 2003-05-09 2003-05-09 薄膜形成装置

Country Status (2)

Country Link
US (1) US7267725B2 (ja)
JP (1) JP4152802B2 (ja)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007162131A (ja) * 2005-11-18 2007-06-28 Tokyo Electron Ltd 熱およびプラズマ増強蒸着のための装置および操作方法
JP2009088473A (ja) * 2007-09-12 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2009163911A (ja) * 2007-12-28 2009-07-23 Hitachi High-Technologies Corp プラズマ処理装置
JP2010150603A (ja) * 2008-12-25 2010-07-08 Fuji Electric Systems Co Ltd 薄膜形成装置
JP2010161316A (ja) * 2009-01-09 2010-07-22 Ulvac Japan Ltd プラズマ処理装置
JP2010244706A (ja) * 2009-04-01 2010-10-28 Panasonic Corp プラズマ処理装置
KR101378083B1 (ko) * 2012-06-14 2014-03-27 주식회사 수앤테크 기판처리장치
WO2018042754A1 (ja) * 2016-08-31 2018-03-08 株式会社日本製鋼所 プラズマ原子層成長装置および原子層成長方法
KR20190050710A (ko) * 2017-11-03 2019-05-13 에이에스엠 아이피 홀딩 비.브이. 반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법
JP2021525952A (ja) * 2018-05-25 2021-09-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated より短い対称的な接地経路を提供するための接地経路システム
WO2023234108A1 (ja) * 2022-06-03 2023-12-07 東洋紡株式会社 積層体の製造方法、半導体デバイスの製造方法、及び膜形成装置

Families Citing this family (284)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070243317A1 (en) * 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
DE102004009772A1 (de) * 2004-02-28 2005-09-15 Aixtron Ag CVD-Reaktor mit Prozesskammerhöhenstabilisierung
AU2005309226B2 (en) * 2004-11-24 2010-06-03 Oerlikon Solar Ag, Truebbach Vacuum processing chamber for very large area substrates
US20070116873A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8454749B2 (en) * 2005-12-19 2013-06-04 Tokyo Electron Limited Method and system for sealing a first assembly to a second assembly of a processing system
US7654010B2 (en) * 2006-02-23 2010-02-02 Tokyo Electron Limited Substrate processing system, substrate processing method, and storage medium
US20070218702A1 (en) * 2006-03-15 2007-09-20 Asm Japan K.K. Semiconductor-processing apparatus with rotating susceptor
KR20080027009A (ko) * 2006-09-22 2008-03-26 에이에스엠지니텍코리아 주식회사 원자층 증착 장치 및 그를 이용한 다층막 증착 방법
US20080241384A1 (en) * 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007063363B4 (de) * 2007-05-21 2016-05-12 Centrotherm Photovoltaics Ag Vorrichtung zur Dotierung und Beschichtung von Halbleitermaterial bei niedrigem Druck
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
JP2011503349A (ja) * 2007-11-08 2011-01-27 アプライド マテリアルズ インコーポレイテッド 可動性シールドを備えた電極構成
US8282735B2 (en) * 2007-11-27 2012-10-09 Asm Genitech Korea Ltd. Atomic layer deposition apparatus
WO2009091189A2 (en) * 2008-01-16 2009-07-23 Sosul Co., Ltd. Substrate holder, substrate supporting apparatus, substrate processing apparatus, and substrate processing method using the same
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
TWI514933B (zh) * 2009-08-12 2015-12-21 Taiwan Semiconductor Mfg Co Ltd 平面聚焦之深蝕刻電漿的產生裝置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5432686B2 (ja) * 2009-12-03 2014-03-05 東京エレクトロン株式会社 プラズマ処理装置
US20110244968A1 (en) * 2010-03-30 2011-10-06 Apex Dynamics, Inc. Flexible Coupler
US8801950B2 (en) 2011-03-07 2014-08-12 Novellus Systems, Inc. Reduction of a process volume of a processing chamber using a nested dynamic inert volume
US9147571B2 (en) * 2011-04-26 2015-09-29 Eagle Industry Co., Ltd. Welded bellows for semiconductor manufacturing device
WO2012147416A1 (ja) * 2011-04-26 2012-11-01 イーグル工業株式会社 半導体製造装置用溶接ベローズ
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9859142B2 (en) 2011-10-20 2018-01-02 Lam Research Corporation Edge seal for lower electrode assembly
US9869392B2 (en) 2011-10-20 2018-01-16 Lam Research Corporation Edge seal for lower electrode assembly
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8900364B2 (en) * 2011-11-29 2014-12-02 Intermolecular, Inc. High productivity vapor processing system
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9957615B2 (en) 2013-09-13 2018-05-01 Applied Materials, Inc. Apparatus to improve substrate temperature uniformity
CN103498192B (zh) * 2013-09-29 2016-07-06 青岛赛瑞达电子科技有限公司 双工位cvd炉
US10319568B2 (en) * 2013-11-12 2019-06-11 Tokyo Electron Limited Plasma processing apparatus for performing plasma process for target object
US10090211B2 (en) * 2013-12-26 2018-10-02 Lam Research Corporation Edge seal for lower electrode assembly
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6802191B2 (ja) * 2015-06-05 2020-12-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated サセプタの位置付け及び回転装置、並びに使用の方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US11421321B2 (en) * 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10358721B2 (en) * 2015-10-22 2019-07-23 Asm Ip Holding B.V. Semiconductor manufacturing system including deposition apparatus
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
JP6778553B2 (ja) * 2016-08-31 2020-11-04 株式会社日本製鋼所 原子層成長装置および原子層成長方法
JP6473974B2 (ja) * 2016-09-30 2019-02-27 パナソニックIpマネジメント株式会社 プラズマ処理装置およびプラズマ処理方法
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) * 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2019054189A (ja) 2017-09-19 2019-04-04 東芝メモリ株式会社 成膜装置および成膜方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872803B2 (en) 2017-11-03 2020-12-22 Asm Ip Holding B.V. Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7061889B2 (ja) * 2018-02-15 2022-05-02 東京エレクトロン株式会社 被処理体の載置装置及び処理装置
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
JP7209247B2 (ja) * 2018-09-25 2023-01-20 パナソニックIpマネジメント株式会社 素子チップの製造方法
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
CN112447472B (zh) * 2019-08-27 2023-03-07 中微半导体设备(上海)股份有限公司 改善气体均一分布的等离子体反应装置
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US11447866B2 (en) * 2020-06-17 2022-09-20 Applied Materials, Inc. High temperature chemical vapor deposition lid
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
US11584993B2 (en) * 2020-10-19 2023-02-21 Applied Materials, Inc. Thermally uniform deposition station
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11685996B2 (en) * 2021-03-05 2023-06-27 Sky Tech Inc. Atomic layer deposition device
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230095095A1 (en) * 2021-09-27 2023-03-30 Applied Materials, Inc. Method of isolating the chamber volume to process volume with internal wafer transfer capability
CN114164418A (zh) * 2021-11-29 2022-03-11 深圳优普莱等离子体技术有限公司 一种用于化学气相沉积的微波等离子体反应腔及设备
CN116288279B (zh) * 2023-05-23 2023-08-18 中微半导体设备(上海)股份有限公司 一种气相沉积装置及基片处理方法

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05121334A (ja) * 1991-04-22 1993-05-18 Tokyo Electron Yamanashi Kk プラズマ処理装置
JPH05243156A (ja) * 1992-02-27 1993-09-21 Ryoden Semiconductor Syst Eng Kk 化学気相成長装置
JPH06333879A (ja) * 1993-05-24 1994-12-02 Tokyo Electron Ltd プラズマ処理装置
JPH09120988A (ja) * 1995-08-24 1997-05-06 Tokyo Electron Ltd プラズマ処理方法
JPH1143774A (ja) * 1997-07-22 1999-02-16 Ebara Corp 薄膜気相成長装置
JP2003129240A (ja) * 2001-10-26 2003-05-08 Hitachi Kokusai Electric Inc 基板処理装置

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6779481B2 (en) * 2000-04-27 2004-08-24 Tokyo Electron Limited Electrical coupling between chamber parts in electronic device processing equipment
US6630053B2 (en) * 2000-08-22 2003-10-07 Asm Japan K.K. Semiconductor processing module and apparatus
US6695318B2 (en) * 2001-01-17 2004-02-24 Tokyo Electron Limited Electronic device processing equipment having contact gasket between chamber parts
JP2002353207A (ja) 2001-05-16 2002-12-06 Applied Materials Inc 半導体製造装置のプロセスチャンバ構造および半導体製造装置
JP4121269B2 (ja) * 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
JP4417669B2 (ja) * 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05121334A (ja) * 1991-04-22 1993-05-18 Tokyo Electron Yamanashi Kk プラズマ処理装置
JPH05243156A (ja) * 1992-02-27 1993-09-21 Ryoden Semiconductor Syst Eng Kk 化学気相成長装置
JPH06333879A (ja) * 1993-05-24 1994-12-02 Tokyo Electron Ltd プラズマ処理装置
JPH09120988A (ja) * 1995-08-24 1997-05-06 Tokyo Electron Ltd プラズマ処理方法
JPH1143774A (ja) * 1997-07-22 1999-02-16 Ebara Corp 薄膜気相成長装置
JP2003129240A (ja) * 2001-10-26 2003-05-08 Hitachi Kokusai Electric Inc 基板処理装置

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007162131A (ja) * 2005-11-18 2007-06-28 Tokyo Electron Ltd 熱およびプラズマ増強蒸着のための装置および操作方法
JP2009088473A (ja) * 2007-09-12 2009-04-23 Tokyo Electron Ltd 成膜装置、成膜方法及び記憶媒体
JP2009163911A (ja) * 2007-12-28 2009-07-23 Hitachi High-Technologies Corp プラズマ処理装置
JP2010150603A (ja) * 2008-12-25 2010-07-08 Fuji Electric Systems Co Ltd 薄膜形成装置
JP2010161316A (ja) * 2009-01-09 2010-07-22 Ulvac Japan Ltd プラズマ処理装置
JP2010244706A (ja) * 2009-04-01 2010-10-28 Panasonic Corp プラズマ処理装置
KR101378083B1 (ko) * 2012-06-14 2014-03-27 주식회사 수앤테크 기판처리장치
WO2018042754A1 (ja) * 2016-08-31 2018-03-08 株式会社日本製鋼所 プラズマ原子層成長装置および原子層成長方法
KR20190050710A (ko) * 2017-11-03 2019-05-13 에이에스엠 아이피 홀딩 비.브이. 반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법
KR102377033B1 (ko) * 2017-11-03 2022-03-21 에이에스엠 아이피 홀딩 비.브이. 반응 챔버를 로딩 챔버로부터 격리하여 오염을 감소시키는 장치 및 방법
JP2021525952A (ja) * 2018-05-25 2021-09-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated より短い対称的な接地経路を提供するための接地経路システム
JP7362669B2 (ja) 2018-05-25 2023-10-17 アプライド マテリアルズ インコーポレイテッド より短い対称的な接地経路を提供するための接地経路システム
WO2023234108A1 (ja) * 2022-06-03 2023-12-07 東洋紡株式会社 積層体の製造方法、半導体デバイスの製造方法、及び膜形成装置

Also Published As

Publication number Publication date
JP4152802B2 (ja) 2008-09-17
US20040221808A1 (en) 2004-11-11
US7267725B2 (en) 2007-09-11

Similar Documents

Publication Publication Date Title
JP4152802B2 (ja) 薄膜形成装置
US11725274B2 (en) Integrated cluster tool for selective area deposition
US6921556B2 (en) Method of film deposition using single-wafer-processing type CVD
CN112251734B (zh) 衬底基座
TWI720060B (zh) 用以控制電漿不穩定性之射頻電源的調頻用系統及方法
JP4256480B2 (ja) セラミックライニングを用いて、cvdチャンバ内の残渣堆積を減少させる装置
US10808317B2 (en) Deposition apparatus including an isothermal processing zone
US6364949B1 (en) 300 mm CVD chamber design for metal-organic thin film deposition
US20100006543A1 (en) Plasma processing apparatus, plasma processing method and storage medium
US20090017635A1 (en) Apparatus and method for processing a substrate edge region
US10633737B2 (en) Device for atomic layer deposition
KR102350991B1 (ko) 경사면 에칭 프로파일 제어
WO2003019624A2 (en) Dielectric barrier discharge process for depositing silicon nitride film on substrates
US11584993B2 (en) Thermally uniform deposition station
TW202105591A (zh) 靜電卡盤處理
KR102245821B1 (ko) 배플 및 이를 가지는 기판 처리 장치
US8377206B2 (en) Apparatus and method of forming semiconductor devices
JPH10223538A (ja) 縦型熱処理装置
WO2006049125A1 (ja) 成膜装置及び成膜方法
KR20150046736A (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US20240068096A1 (en) Showerhead Assembly with Heated Showerhead
JP2021012960A (ja) プラズマ処理装置
US20230335377A1 (en) Showerhead assembly with heated showerhead
JP6317921B2 (ja) プラズマ処理装置
JP2004228181A (ja) プラズマ処理装置およびプラズマ処理方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20060307

RD04 Notification of resignation of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7424

Effective date: 20080331

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20080626

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20080701

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20080702

R150 Certificate of patent or registration of utility model

Ref document number: 4152802

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

Free format text: JAPANESE INTERMEDIATE CODE: R150

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20110711

Year of fee payment: 3

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120711

Year of fee payment: 4

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20120711

Year of fee payment: 4

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20130711

Year of fee payment: 5

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

EXPY Cancellation because of completion of term