DE602005005851T2 - Vakuumbehandlungskammer für sehr grossflächige substrate - Google Patents

Vakuumbehandlungskammer für sehr grossflächige substrate Download PDF

Info

Publication number
DE602005005851T2
DE602005005851T2 DE602005005851T DE602005005851T DE602005005851T2 DE 602005005851 T2 DE602005005851 T2 DE 602005005851T2 DE 602005005851 T DE602005005851 T DE 602005005851T DE 602005005851 T DE602005005851 T DE 602005005851T DE 602005005851 T2 DE602005005851 T2 DE 602005005851T2
Authority
DE
Germany
Prior art keywords
reactor
plasma
chamber
plasma reactor
reactor according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
DE602005005851T
Other languages
English (en)
Other versions
DE602005005851D1 (de
Inventor
Phannara Aing
Laurent Delaunay
Stephan Jost
Mustapha Elyaakoubi
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
OC Oerlikon Balzers AG
Original Assignee
OC Oerlikon Balzers AG
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by OC Oerlikon Balzers AG filed Critical OC Oerlikon Balzers AG
Publication of DE602005005851D1 publication Critical patent/DE602005005851D1/de
Application granted granted Critical
Publication of DE602005005851T2 publication Critical patent/DE602005005851T2/de
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3322Problems associated with coating
    • H01J2237/3325Problems associated with coating large area

Description

  • Die Erfindung bezieht sich auf eine Vakuumbehandlungsanlage für sehr großflächige Substrate, insbesondere auf eine PECVD Behandlungskammer (respektive einen inneren Reaktor) mit Kompensationsmittel für die Planabweichung.
  • Hintergrund der Erfindung
  • Die vorliegende Erfindung bezieht sich im Allgemeinen auf großflächige PECVD Prozesskammern und insbesondere auf derartige Kammern, die selbst wieder in einer zweiten Vakuumkammer aufgenommen sind.
  • Solche „Kammer in einer Kammer"-Anordnung, (Plasma BoxTM) sind im Stand der Technik bekannt und im US-Patent 4,798,739 beschrieben. Der große Vorteil solcher „Kammer in einer Kammer"-Anordnung ist, dass ein niedriger Druck in der luftdichten Außenkammer als in der Innenreaktorkammer aufrecht erhalten werden kann, so dass eine kontrollierte Gasströmung von der Innen- zur Außenkammer („differenzielles Pumpen") aufrecht erhalten werden kann. Ein weiterer Vorteil eines solches „Kammer in einer Kammer"-Systems ist, dass die Innenkammer bei einer konstanten Prozesstemperatur typischerweise von etwa 250–350°C (isothermischer Reaktor) aufrechterhalten werden kann. Das Halten einer konstanten Prozesstemperatur ermöglicht in einem solchen inneren Reaktor eine gleichmäßige Temperaturverteilung und somit einheitliche Gesamtdepositionsraten. Mit dem Aufkommen von immer größeren Substraten (über 2 m × 2 m) wird es allerdings immer schwieriger, den inneren Reaktor im Wesentlichen eben zu halten und infolge dessen in der Lage zu sein, die erforderlichen Produktionsspezifikationen zu erfüllen und die Substrate zu beladen und zu entladen.
  • Aufgrund der aggressiven Natur der chemischen Mittel, die durch PECVD bedingt sind, sind Aluminiumlegierungen das wirtschaftliche Material der Wahl: Aluminium ist eines der wenigen Materialien, die bekannt sind in der Lage zu sein, gegen die Angriffe der chemischen Mittel resistent zu bleiben, die in PECVD Prozessen verwendet sind, wie etwa Fluor enthaltende Gase und Stoffe. Leider tendieren Aluminiumlegierungen allerdings dazu, Kriechverformung bei erhöhten Temperaturen vorzuweisen und sogar kriechresistente Legierungen können nicht vollständig derartige Deformation im Laufe der Zeit eliminieren.
  • Jede Plandeformation und – abweichung des Reaktors verursacht außerdem ungleichmäßige Ablagerung auf dem Substrat, da die Depositionsrate (neben anderen Faktoren) eine Funktion des Plasma-Spaltes ist, d. h. des Abstands zwischen den oberen und den unteren Elektroden des Reaktors. Um des Weiteren Substrate zu beladen und zu entladen ist es notwendig, in der Lage zu sein, sowohl die Außenkammer und den inneren Reaktor zu öffnen und auf sie durch eine Ladeschleuse zuzugreifen. Eine solche Öffnung muss wieder schnell und sicher auf eine gasdichte Art und Weise für den eigentlichen Depositionsprozess dicht verschließbar sein, um Leckage zu vermeiden.
  • Stand der Technik
  • In den PECVD Reaktoren des Typs „Kammer in einer Kammer", die im Stand der Technik ( US 4,798,739 ) bekannt sind, sind Edelstahlstäbe verwendet, die als „Versteifungsmittel" bekannt sind, um die inneren Reaktoren gegenüber der Außenkammer aufzuhängen. Die inneren Reaktoren selbst (z. B. die Reaktoren des Systems Unaxis KAI 1200) sind aus zwei gasdichten etwa symmetrischen Hälften hergestellt, die lediglich für die Instandhaltung und nicht für Beladens-/Entladenszwecke geöffnet sind. Für Beladens-/Entladenszwecke ist ein Schlitz in einer Seitenwand des inneren Reaktors herausgearbeitet, der geöffnet und durch ein Schlitzventil auf eine gasdichte Art und Weise geschlossen werden kann. Eine Gabel, die ein Substrat hält, ist in die Innenkammer durch einen derartigen Schlitz eingeführt. Dann ist das Substrat durch eine Reihe von vertikalen Stiften aufgenommen. Nach der Zurücknahme der Gabel können diese (Hub-)Stifte vertikal zurück gefahren werden, bis das Substrat in seiner vorbestimmten Position liegt. Der Schlitz ist dann durch ein im Stand der Technik bekanntes Schlitzventil abgedichtet.
  • Nachteile im Stand der Technik
  • Der größte Nachteil des aktuellen Reaktordesigns ist die Seiten-Schlitz/Gabel/Stift-Art des Beladens und Entladens der Substrate, die oben beschrieben ist. Dies erfordert eine einheitliche innere Höhe des Reaktors, um die Gabel und die Stifte aufzunehmen. Bei sehr großen Substratgrößen neigt allerdings die Gabel dazu, sich unter der Kombination von ihrem eigenen Gewicht und dem Substratgewicht, zu biegen. Der verwendete Be-/Entladenmechanismus schreibt eine zunehmend große innere Höhe des Reaktors und eine große Schlitzhöhe vor.
  • Einfache Edelstahlversteifungsmittel, wie etwa bekannte T- oder H-förmige Stäbe können nicht vollständig die Deformation und die Verzerrung der sehr großen Reaktoren kompensieren, insbesondere wenn diese Reaktoren eine Seitenlänge von über 2 Meter erreichen. Einfache Versteifungsmittel würden nicht nur fehlen einen Flachreaktor bei Raumtemperatur bereitzustellen, aber insbesondere bei einer Betriebstemperatur, weil sogar Edelstahl bei erhöhter Temperatur zum Festigkeitsverlust neigt. Einfache Versteifungsmittelslösungen tendieren, unter dem Gewicht des Reaktors einzusacken, wie auch unter ihrem eigenen Gewicht, und zwar bei Raumtemperatur als auch bei Betriebstemperaturen von etwa 300°C.
  • Die oben genannten Probleme, die sich hauptsächlich aus verschiedenen Problemen der Form der Genauigkeit ergeben, die bei der Verwendung von großen Reaktorgrößen von mehr als 2 Meter Seitenlänge angegangen werden müssen, fordern ein neues Reaktordesign.
  • Bisher ist der innere Reaktor als eine einteilige Vakuumkammer im Stand der Technik konzipiert. Das Beladen und Entladen des Reaktors erfolgt durch einen Seitenschlitz, der in einer Seitenwand eingearbeitet ist. Das neue Reaktordesign muss den Anforderungen der optimalen Höhe während der Verarbeitung des Substrats und des oben genannten Problems des Beladens, das sich aus der Biegung der Ladegabel ergibt, gerecht werden. Diese Anforderungen sind nicht länger durch das traditionelle Reaktordesign erfüllt. Zusätzlich erreichen die Reaktoren immer größere Dimensionen und müssen steigenden Deformations- und Erweiterungsanforderungen entsprechen.
  • Zusammenfassung der Erfindung
  • Ein Plasma Reaktor für PECVD Behandlung von großformatigen Substraten gemäß der Erfindung umfasst eine Vakuumbehandlungskammer 19 als eine Außenkammer und zumindest einen inneren Reaktor mit Prozessgaszuführung 22 und einer RF-Versorgung 24, die elektrisch mit der Showerhead Elektrode 25 verbunden ist, die als RF-Antenne wirkt, wobei der innere Reaktor wiederum ein Reaktorunterteil 6 und ein Reaktoroberteil 2 aufweist, das zumindest während der Behandlung der Substrate in dem Plasma Reaktor dicht verbunden ist und zumindest während des Beladens/Entladens der Substrate getrennt ist. Weitere vorteilhafte Ausführungsformen und Eigenschaften sind nachfolgend und in den jeweiligen Unteransprüchen beschrieben.
  • Kurze Beschreibung der Zeichnungen
  • 1 zeigt einen Reaktor einer ersten Ausführungsform der Erfindung in einem geöffneten (1a) und einem geschlossenen (1b) Zustand.
  • 2 zeigt Versteifungsmittel in Seitenansicht (2a) und Längsrichtung (2b und 2c) in zwei verschiedenen Schnittdarstellungen.
  • 3 zeigt eine Dichtplatte, die für dichte Schließung eines Reaktors gemäß der Erfindung verwendet wird.
  • 4 zeigt eine Implementierung von einer erfindungsgemäßen Dichtplatte/Dichtungsdistanzhalter Kombination.
  • 5 ist ein Ausschnitt eines Endes einer Dichtplatte.
  • 6 zeigt ein Tragteil für eine RF-Antenne bezüglich einer weiteren Ausführungsform der Erfindung.
  • Detaillierte Beschreibung der Erfindung
  • Hiermit basiert die vorliegende Erfindung auf einem neuen Reaktorkonzept. Der Reaktor ist in zwei Teile geteilt; ein Reaktorunterteil 6 und ein Reaktoroberteil 2 (siehe 1). Das Reaktoroberteil 2 ist an der Außenvakuumbehandlungskammer 19 bevorzugt durch Versteifungsmittel 1 (Verbindung nicht in 1 dargestellt) befestigt. Der Reaktorboden 6 (oder Böden im Falle von mehreren Reaktorsystemen in einer einzigen Außenkammer) ist vertikal bewegbar, so dass sich ein Schlitz zwischen der Reaktorseitenwand 11 und der Dichtplatte 9 öffnet. Wenn der Reaktor vollständig geöffnet ist, erweitert sich der Schlitz und die Hubstifte 8 beginnen herauszuragen. Die Ladegabel (nicht in 1 dargestellt) ist dann in der Lage das Substrat auf den Hubstiften für das Beladen abzulegen, oder das Substrat von den Hubstiften 8 durch das Heben des Substrates von unten durch das Absperrventil der Kammer 20 zurückzuziehen. Dieser „invertierte Schuh-Box"-Typ der Öffnung hat den großen Vorteil, dass die Höhe der Reaktorwände 11 und somit entsprechend der Plasmaspalt relativ klein sein können. Wenn eine Lösung des Beladens-/Entladens durch Anordnung eines Schlitzventils (im Gegensatz zu der vorliegenden Erfindung und bekannt in dem Stand der Technik) in der Reaktorwand gewählt würde, würde die Höhe der Wand 11 massiv erhöht werden müssen, um den Eintritt einer Lade-/Entladegabel, die sich verbiegen und mit großen Substraten vibrieren kann, zu ermöglichen. Somit würde ein wirtschaftlicher Depositionsprozess sehr stark beschränkt sein.
  • Neben dem neuen Reaktorkonzept können zusätzliche Maßnahmen ergriffen werden, um das richtige Funktionieren der Plasmaeinrichtung zu gewährleisten. Eine weitere Ausführungsform der Erfindung enthält Maßnahmen, um die Deformation und Ausdehnung des Reaktors zu kompensieren, das auch zu Dichtungsproblemen des zweiteiligen Reaktors führt. Ein erster Schritt, um einen Ausgleich für die Plan abweichung bezüglich der vorliegenden Erfindung zu schaffen, ist die Verwendung von Kompensationsdistanzhaltern (2, Referenz 4).
  • 2a–c stellt dar, wie das Sacken der Versteifungsmittel 1 und dadurch des Reaktoroberteils 4 durch Schwerkraft mit Kompensationsdistanzhalter 4 kompensiert werden kann. Schrauben 5 verbinden das Reaktoroberteil 2 (zum Beispiel aus einer Aluminiumlegierung) mit Versteifungsmitteln 1. Versteifungsmittelclips 3 greifen z. B. in eine Nut ein, die in dem Versteifungsmittel 1 herausgearbeitet ist. Kompensationsdistanzhalter 4 verschiedener Dicke sind zwischen dem Versteifungsmittel (1) und dem Reaktoroberteil (2) angeordnet und ermöglichen die Kompensation des Durchbiegens der Versteifungsmittel 1 während des Betriebs des Plasmareaktors bei erhöhten Temperaturen. Die Versteifungsmittel 1 sind wiederum an sich kreuzenden Platten an ihren Enden (nicht abgebildet) befestigt. Durch die Verwendung eines Reaktoroberteilsversteifungsteils 1, das an den Enden der Außenkammer aufgenommen und befestigt ist, und durch sorgfältige Auswahl der Dicke der Kompensationsdistanzhalter 4, die dicker an den Enden der Versteifungsmittel (2b), dünner in Richtung der Mitte (2c) und abwesend in der Mitte sind, kann das Einsacken bei Betriebstemperatur kompensiert werden. Das Sacken des Reaktoroberteils muss in der Mitte mehr als an den Enden (wie in der Abbildung durch die Krümmung des Versteifungsmittels 1 in 2a dargestellt ist) kompensiert werden. Entsprechend zeigen die Versteifungsmittel am Boden (Unterseite) des Reaktors auch eine leichte Abwärtskrümmung in Richtung der Mitte, aber sie weisen die dicksten Kompensationsdistanzhalter auf, die zwischen dem Versteifungsmittel (1) und dem Reaktorunterteil (6) in der Mitte des Versteifungsmittels angeordnet sind. Die herausgearbeiteten Nuten in dem Versteifungsmittel 1 und in dem Versteifungsmittelclip 3 können weiter die thermische Ausdehnung zwischen dem Reaktoroberteil und dem Versteifungsmittel aufnehmen.
  • 3 stellt ein weiteres Kompensationsmittel von der Planabweichung mit dem Einsatz einer Dichtplatte 9a mit Tellerfedern 10 dar. Eine Planabweichung der Reaktorseitenwand 11 gegen das Reaktorunterteil 6, die nicht durch die Kompensationsdistanzhalter der Versteifungsmittel kompensiert werden könnte, wird negativ die Gasdichtigkeit des Reaktors beeinflussen. Die Dichtplatte 9a ist so konzipiert, um diese Abweichung zu kompensieren, da die Platte 9a zum Teil elastisch und an das Reaktorunterteil 6 auf der Innenseite des Reaktors gedrückt ist. Außerdem dient ein Dichtungsdistanzhalter 9b unter der Mitte der Dichtplatte (4) dazu, um zu vermeiden, dass die Dichtplatte über die Länge zwischen der Reaktorwand 11 und dem Reaktorunterteil 6 geklemmt wird. Damit ist die eigentliche Dichtung an zwei Stellen erreicht: zwischen der Dichtplatte 9a und dem Reaktorunterteil auf der Innenseite, und zwischen der Dichtplatte 9a und der Reaktorwand 11 auf der Außenseite (Oberteil) des Reaktors.
  • Die Dichtungsdistanzhalter 9b bieten eine gut definierte Schließlage, die es den Dichtungsplatten 9a, die vollständig an der Wand 11 durch die Tellerfeder 10 gedrückt sind, ermöglicht, sich unbehindert zusammenzuziehen oder sich weg von der Mitte auszudehnen. Mit einer Reaktorseitenlänge von etwa 2,5 Metern kann eine maximale Verformung von etwa 2 mm somit kompensiert werden.
  • Da sowohl der innere Reaktor als auch der Außenbehälter unter Vakuum während des Betriebs sind, muss die Dichtung bei der Druckdifferenz zwischen den beiden, die typischerweise in der Größenordnung von 10–2 bis 10–3 mbar ist, nur gasdicht sein.
  • In 4 stellen die dünnen Pfeile dar, wie die thermische Ausdehnung der Dichtung berücksichtigt ist. Im Allgemeinen ist die Dichtplatte 9a fest in der Mitte befestigt und kann sich zusammenziehen und sich in Richtung der Ecken ausdehnen.
  • 5 stellt einen Abschnitt des Endes eine Dichtplatte 9a dar, wo sie eine andere Dichtplatte 9a an einer Ecke verbindet: eine Umkantung ist bereitgestellt, um die thermische Ausdehnung zu kompensieren.
  • In einer weiteren allerdings weniger bevorzugten Ausführungsform kann die Dichtung wahlweise durch einen elastischen O-Ring, der in einer trapezförmigen Nut auf der unteren Seite (Boden) der Reaktorwand 11 angeordnet ist, erreicht werden.
  • Da es vorgesehen ist, den Reaktor viele Tausend Mal öffnen und schließen zu können, da die Temperatur in dem Reaktor hoch ist, und da die chemischen Mittel im Plasma sehr aggressiv sind, ist das Material eines solchen O-Rings hoch beansprucht. Gegenwärtige Materialien für einen solchen O-Ring erfüllen kaum diese Anforderungen.
  • 6 zeigt ein anderes Teil des Reaktors, wo thermische Ausdehnung der Aufhängung der Radio-Frequenz (RF)-Antenne 12 kompensiert werden muss. Pfeile in 6 zeigen Freiheit des Zusammenziehens/Erweiterns. Die Tragteile halten die Antenne fest; sie versorgen sie nicht mit eigentlicher RF-Energie. Die RF-Energie ist durch die Antenne in das Plasma transportiert, das sich dadurch erheblich erwärmt und sich thermisch entsprechend ausdehnt. Wenn die Tragteile nicht in einem Plasmareaktor verwendet würden, könnte das Ausdehnungs-/Kontraktionsproblem dadurch leicht gelöst werden, indem eine Dehnungsnut eingefügt wird, wie die Pfeile zwischen dem Reaktoroberteil 2 (geerdet) und dem Tragteil 14 zeigen, und dann indem das Reaktoroberteil von der Antenne durch den Einsatz der Isolationskeramik an einem entsprechenden Teil des Tragteils elektrisch isoliert wird. Da der Reaktor allerdings unter Vakuum betrieben ist, müssen Spalte und große Potentialabnahmen vermieden werden, um die Zündung von parasitärem Plasma zu verhindern. Da in diesem Fall ein Spalt zwischen dem Reaktoroberteil 3 und dem Tragteil 14 wegen der thermischen Ausdehnung/Kontraktion nicht vermieden werden kann, ist eine Potentialabnahme dadurch vermieden, indem das Oberteil 14 des Tragteils auf dasselbe Potential wie das Reaktoroberteil 2 gesetzt ist, in dem das untere Teil 17 des Tragteils (dasselbe Potential wie die RF-Antenne aufweist) durch einen Keramikzylinder (Mittelteil, 16) isoliert ist, das ein Schraubgewinde auf der Innenseite umfasst, um das Oberteil 14 und das Unterteil 17 des Tragteils aneinander zu befestigen. Das Oberteil 14 und das Unterteil 17 des Tragteils sind zusätzlich durch einen kleinen Spalt getrennt, der zu klein ist, um auf parasitäres Plasma empfindlich zu sein. Zusätzlich sind RF-Distanzhalter 18 mit einem galvanisch isolierten Potential über die Antenne versorgt, um das parasitäre Plasma in dem Raum zwischen dem Reaktoroberteil 2 und der Antenne 12 zu vermeiden.
  • In einer anderen weniger bevorzugten Ausführungsform ist das Äquivalent des Keramikteils in der Mitte des Tragteils ein Keramikzylinder mit zwei überstehenden Schraubgewinden auf seinen Enden. Schrauben aus Keramik sind stark bruchanfällig.
  • Vorteile der Erfindung
  • Der Reaktor gemäß der vorliegenden Erfindung ist für sehr große Substratgrößen (wie Substrate für Flüssigkristallbildschirme) und für Verwendung in einer Außenvakuumkammer (wie eine Plasma BoxTM) vorgesehen. Aufgrund seiner großen Größe – thermische Ausdehnung (die im Bereich von Zentimetern bei Reaktorlängen im Bereich von Metern sein kann) und allgemeine Verformung (wie die Kriechverformung) – ergeben sich einige Probleme bezüglich Gasdichte und Aufhängung der Elemente, die an der Außenkammer befestigt werden müssen. Der große Vorteil der vorliegenden Erfindung ist, dass der Reaktor gasdicht von Umgebungstemperatur bis zur Betriebstemperatur (ca. 300°C) ist. Ein weiterer wichtiger Vorteil ist, dass durch die Verwendung des „umgekehrten Schuhkarton"-Öffnungsprinzips des Reaktors große Schlitze in der Reaktorwand (wie im Stand der Technik bekannt ist) vermieden werden können, wodurch der Plasmaspalt klein gehalten werden kann, was wesentlich für die Produktivität des Reaktors ist.
  • Der Reaktor gemäß der vorliegenden Erfindung ist somit effizient, kostengünstig, leicht herzustellen und instand zu halten.
  • 1
    Versteifungsmittel (zum Beispiel aus Edelstahl)
    2
    Reaktoroberteil (zum Beispiel aus Aluminiumlegierungen)
    3
    Versteifungsmittelclip
    4
    Kompensationsdistanzhalter
    5
    Schraube
    6
    Reaktorunterteil
    7
    Substrat
    8
    (Hub-)Stift für Substrataufnahme
    9
    9a Dichtplatte 9b Dichtungsdistanzhalter
    10
    Tellerfeder
    11
    (Reaktor-)Seitenwand
    12
    RF-Antenne (zum Beispiel aus Aluminium)
    13
    Aufhängedeckel
    14
    Tragteiloberteil (zum Beispiel aus Aluminium)
    15
    Reibungs- und Partikelreduzierring (zum Beispiel aus Keramik)
    16
    Tragteilmittelteil (zum Beispiel aus Keramik)
    17
    Tragteilunterteil (zum Beispiel aus Aluminium)
    18
    RF-Distanzhalter
    19
    Vakuumbehandlungskammer
    20
    Vakuumabsperrventil
    21
    Aufhängung
    22
    Prozessgaszuführung
    23
    Pumpengitter
    24
    RF-Versorgung
    25
    Showerhead-Elektrode
    26
    Exhaustor

Claims (15)

  1. Plasma Reaktor für plasmaunterstützte chemische Gasphasenabscheidung (PECVD) für Behandlung von großformatigen Substraten, umfassend eine Vakuumbehandlungskammer (19) als eine Außenkammer und mindestens einen inneren Reaktor mit einer Prozessgaszuführung (22); und eine RF-Versorgung (24), die elektrisch mit einer Showerhead Elektrode (25), wirkend als RF-Antenne, verbunden ist, wobei der innere Reaktor außerdem mit einem Reaktorunterteil (6) und einem Reaktoroberteil (2), der zumindest während der Behandlung von Substraten in dem Plasma Reaktor dicht geschlossen und zumindest während des Be-/Entladens der Substrate getrennt ist.
  2. Plasma Reaktor gemäß Anspruch 1, dadurch gekennzeichnet, dass die Vakuumbehandlungskammer (19) eine Öffnung mit einem Absperrventil der Kammer (20) aufweist, die das Beladen und Entladen von Substraten in die Vakuumbehandlungskammer (19) ermöglicht.
  3. Plasma Reaktor gemäß Ansprüchen 1–2, dadurch gekennzeichnet, dass Stifte (8) in dem Reaktorunterteil das zu behandelnde Substrat (7) aufnehmen.
  4. Plasma Reaktor gemäß Ansprüchen 1–3, dadurch gekennzeichnet, dass das Reaktorunterteil (6) vertikal bewegbar ist, um das Reaktoroberteil (2) und Reaktorunterteil (6) zu trennen und zu abdichten.
  5. Plasma Reaktor gemäß Ansprüchen 1–4, dadurch gekennzeichnet, dass eine Dichtplatte (9, 9a) mit einer Seitenwand (11) des Reaktoroberteils (2) zusammen wirkt, um gegen das Reaktorunterteil dicht gedrückt zu sein.
  6. Plasma Reaktor gemäß Anspruch 5, dadurch gekennzeichnet, dass in dem geschlossenen Zustand des inneren Reaktors die Dichtplatte (9a) mit Hilfe von Federn (10) an eine innere Seite des Reaktorunterteils (6) und an die Reaktor seitenwand (11) gedrückt ist.
  7. Plasma Reaktor gemäß Anspruch 5, dadurch gekennzeichnet, dass ein Dichtungsdistanzhalter (9b) unterhalb von der Mitte der Dichtplatte (9a) angeordnet ist.
  8. Plasma Reaktor gemäß Ansprüchen 1–5, dadurch gekennzeichnet, dass Versteifungsmittel (1) das Reaktoroberteil (2) und/oder das Reaktorunterteil (6) aufnehmen.
  9. Plasma Reaktor gemäß Anspruch 8, dadurch gekennzeichnet, dass die Versteifungsmittel (1) mit dem Reaktoroberteil (2) und/oder dem Reaktorunterteil (6) durch Kompensationsdistanzhalter (4) mit Dicken, die so gewählt sind, um die thermische Ausdehnung während der Operation zu kompensieren, verbunden sind.
  10. Plasma Reaktor gemäß Anspruch 9, dadurch gekennzeichnet, dass die Kompensationsdistanzhalter (4), die zwischen dem Versteifungsmittel (1) und dem Reaktoroberteil (2) angeordnet sind, dicker am Ende und dünner in Richtung der Mitte des Versteifungsmittels (1) sind.
  11. Plasma Reaktor gemäß Anspruch 9, dadurch gekennzeichnet, dass die Kompensationsdistanzhalter (4), die zwischen dem Versteifungsmittel (1) und dem Reaktorunterteil (6) angeordnet sind, am dicksten in der Mitte des Versteifungsmittels (1) sind.
  12. Plasma Reaktor gemäß Ansprüchen 9–11, dadurch gekennzeichnet, dass Schrauben (5) das Versteifungsmittel (1) und das Reaktoroberteil (2) oder das Reaktorunterteil (6) entsprechend mit Hilfe von Versteifungsmittelclips (3) und Kompensationsdistanzhaltern (4) verbinden.
  13. Plasma Reaktor gemäß Ansprüchen 1–12, dadurch gekennzeichnet, dass eine Aufhängung (21) die RF-Antenne (12) und das Reaktoroberteil (2) verbindet, wobei die Aufhängung ein Oberteil (14), ein Mittelteil (16) und ein Unterteil (17) umfasst, das Oberteil (14) auf demselben Potenzial wie das Reaktoroberteil (2) liegt, das Unterteil (17) auf demselben Potenzial wie die RF-Antenne (12) und das Mittelteil (16) liegt und das Mittelteil das Oberteil (14) und das Unterteil (17) verbindet und elektrisch isoliert.
  14. Plasma Reaktor gemäß Anspruch 13, dadurch gekennzeichnet, dass der Plasma Reaktor weiter RF Distanzhalter (18) in dem Raum zwischen dem Reaktoroberteil (2) und der RF-Antenne (12) umfasst.
  15. Verfahren für Behandlung eines Substrates in einem Plasma Reaktor gemäß Anspruch 1, umfassend die Schritte von (a) Öffnen des inneren Reaktors durch vertikale Senkung des Reaktorunterteils (6), (b) Öffnen eines Absperrventils der Kammer (20), was den Zugang zu dem inneren Reaktor ermöglicht, (c) Aufnahme des Substrates (7) auf Stiften (6), (d) vertikales Hochheben des Reaktorunterteiles (6) bis der innere Reaktor geschlossen wird, (e) Schließung des Absperrventils der Kammer und (f) Behandlung des Substrates.
DE602005005851T 2004-11-24 2005-11-23 Vakuumbehandlungskammer für sehr grossflächige substrate Active DE602005005851T2 (de)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63066704P 2004-11-24 2004-11-24
US630667P 2004-11-24
PCT/CH2005/000692 WO2006056091A1 (en) 2004-11-24 2005-11-23 Vacuum processing chamber for very large area substrates

Publications (2)

Publication Number Publication Date
DE602005005851D1 DE602005005851D1 (de) 2008-05-15
DE602005005851T2 true DE602005005851T2 (de) 2009-04-09

Family

ID=35911274

Family Applications (1)

Application Number Title Priority Date Filing Date
DE602005005851T Active DE602005005851T2 (de) 2004-11-24 2005-11-23 Vakuumbehandlungskammer für sehr grossflächige substrate

Country Status (10)

Country Link
US (1) US20080050536A1 (de)
EP (2) EP1815493B1 (de)
JP (1) JP5027667B2 (de)
KR (1) KR101271345B1 (de)
CN (2) CN100573803C (de)
AT (2) ATE543925T1 (de)
AU (1) AU2005309226B2 (de)
DE (1) DE602005005851T2 (de)
ES (1) ES2301073T3 (de)
WO (1) WO2006056091A1 (de)

Families Citing this family (351)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
US8733279B2 (en) * 2007-02-27 2014-05-27 Applied Materials, Inc. PECVD process chamber backing plate reinforcement
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US20130052369A1 (en) 2010-05-06 2013-02-28 Oerlikon Solar Ag, Truebbach Plasma reactor
JP2013540891A (ja) * 2010-09-03 2013-11-07 ティーイーエル・ソーラー・アーゲー Pecvdシステムにおける差圧の制御
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
CN103382553B (zh) * 2012-05-03 2016-08-03 理想能源设备(上海)有限公司 处理系统的降温方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US20130333616A1 (en) 2012-06-18 2013-12-19 Tel Solar Ag Plasma processing system with movable chamber housing parts
US20130340940A1 (en) * 2012-06-21 2013-12-26 Tel Solar Ag Rf feed line
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN103911599B (zh) * 2014-03-26 2016-02-10 京东方科技集团股份有限公司 一种等离子体增强化学气相沉积装置
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
DE102014116991A1 (de) 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
DE102015110440A1 (de) 2014-11-20 2016-05-25 Aixtron Se CVD- oder PVD-Reaktor zum Beschichten großflächiger Substrate
CN104409309B (zh) * 2014-12-01 2016-09-21 逢甲大学 大面积等离子体处理装置与均匀等离子体生成方法
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
KR101702762B1 (ko) 2015-07-27 2017-02-07 에스엔유 프리시젼 주식회사 기판 처짐 방지 장치
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
WO2018142179A1 (en) * 2017-02-02 2018-08-09 C4E Technology Gmbh Apparatus for applying a deposition onto a substrate by a deposition process and method for carrying out a deposition process by use of such an apparatus
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
WO2019227192A1 (ru) * 2018-05-31 2019-12-05 Shiripov Vladimir Jakovlevich Технологический реактор для плазмохимического осаждения тонкопленочных покрытий и вакуумная установка
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5970766A (ja) * 1982-10-18 1984-04-21 Ulvac Corp プラズマcvd装置
JPS6167769A (ja) * 1984-09-07 1986-04-07 Canon Inc 成膜装置
FR2589168B1 (fr) * 1985-10-25 1992-07-17 Solems Sa Appareil et son procede d'utilisation pour la formation de films minces assistee par plasma
FR2621930B1 (fr) * 1987-10-15 1990-02-02 Solems Sa Procede et appareil pour la production par plasma de couches minces a usage electronique et/ou optoelectronique
CH687987A5 (de) * 1993-05-03 1997-04-15 Balzers Hochvakuum Verfahren zur Erhoehung der Beschichtungsrate in einem Plasmaentladungsraum und Plasmakammer.
US6296735B1 (en) * 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
JP2814370B2 (ja) * 1995-06-18 1998-10-22 東京エレクトロン株式会社 プラズマ処理装置
US5653811A (en) * 1995-07-19 1997-08-05 Chan; Chung System for the plasma treatment of large area substrates
TW403959B (en) * 1996-11-27 2000-09-01 Hitachi Ltd Plasma treatment device
JP3801730B2 (ja) 1997-05-09 2006-07-26 株式会社半導体エネルギー研究所 プラズマcvd装置及びそれを用いた薄膜形成方法
US6409837B1 (en) * 1999-01-13 2002-06-25 Tokyo Electron Limited Processing system and method for chemical vapor deposition of a metal layer using a liquid precursor
US6149365A (en) * 1999-09-21 2000-11-21 Applied Komatsu Technology, Inc. Support frame for substrates
US6682288B2 (en) * 2000-07-27 2004-01-27 Nexx Systems Packaging, Llc Substrate processing pallet and related substrate processing method and machine
JP4791637B2 (ja) * 2001-01-22 2011-10-12 キヤノンアネルバ株式会社 Cvd装置とこれを用いた処理方法
US7160577B2 (en) * 2002-05-02 2007-01-09 Micron Technology, Inc. Methods for atomic-layer deposition of aluminum oxides in integrated circuits
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US20060005771A1 (en) * 2004-07-12 2006-01-12 Applied Materials, Inc. Apparatus and method of shaping profiles of large-area PECVD electrodes
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction

Also Published As

Publication number Publication date
CN101065824A (zh) 2007-10-31
WO2006056091A1 (en) 2006-06-01
DE602005005851D1 (de) 2008-05-15
AU2005309226B2 (en) 2010-06-03
KR20070103353A (ko) 2007-10-23
JP5027667B2 (ja) 2012-09-19
ATE543925T1 (de) 2012-02-15
CN101728206B (zh) 2011-11-23
EP1815493B1 (de) 2008-04-02
AU2005309226A1 (en) 2006-06-01
EP1815493A1 (de) 2007-08-08
CN100573803C (zh) 2009-12-23
ES2301073T3 (es) 2008-06-16
JP2008520825A (ja) 2008-06-19
KR101271345B1 (ko) 2013-06-05
US20080050536A1 (en) 2008-02-28
CN101728206A (zh) 2010-06-09
EP1953794A1 (de) 2008-08-06
EP1953794B1 (de) 2012-02-01
ATE391339T1 (de) 2008-04-15

Similar Documents

Publication Publication Date Title
DE602005005851T2 (de) Vakuumbehandlungskammer für sehr grossflächige substrate
DE60220787T2 (de) Glatter mehrteiliger substratträger für cvd
DE602004007017T2 (de) Spannungsungleichförmigkeits-kompensationsverfahren für einen hochfrequenz-plasmareaktor zur behandlung rechteckiger grossflächiger substrate
DE112014001586B4 (de) Vorrichtung zur Bearbeitung von zwei oder mehreren Substraten in einem Batch-Prozess
EP2812916B1 (de) Prozessmodul
DE102006029425B4 (de) Dichtungsteil und Substrat-bearbeitende Vorrichtung mit einem Dichtungsteil
JP2006104575A (ja) プロセスチャンバのカソードの高周波接地
DE102011081749A1 (de) Substratbehandlungsanlage
EP2870623B1 (de) Prozessbox, anordnung und verfahren zum prozessieren eines beschichteten substrats
DE10352606A1 (de) Herstellungseinheit für ein Halbleiter-Bauteil und Verwendung derselben
EP1609880A1 (de) Zerstäubungskatode für Beschichtungsprozesse
DE102016110884A1 (de) Vorrichtung und Verfahren zum Abscheiden organischer Schichten auf ein oder mehreren Substraten
DE102009021563B4 (de) Einrichtung zum Transport von Substraten in und aus Vakuumanlagen
DE102013107159B4 (de) Vorrichtung zur Fixierung von L-Blenden
DE202008017738U1 (de) Vakuumkammer zum Bedampfen oder Beschichten
WO2017205882A1 (de) Hochtemperatur-isoliersystem
DE102011055708B4 (de) Vorrichtung zum Temperieren von Substraten, insbesondere von flexiblen Substraten
JP7132359B2 (ja) 真空処理装置、真空処理装置のクリーニング方法
DE112008003378B4 (de) Plasma-CVD-Vorrichtung
DE102009017305B4 (de) Einrichtung zum Transport von Substraten durch Vakuumanlagen
DE102022204883A1 (de) System zur chemischen gasphasenabscheidung mit kontinuierlicher zuführung
DE102016107990A1 (de) Vakuumprozesskammer
DE19800672A1 (de) Vakuumverschluß für Prozeßrohre
DD220172A1 (de) Verfahren zur herstellung flacher gasgefuellter oder vakuumanzeigefelder
DE102013106734A1 (de) Evakuierbare Anlagenkammer einer Durchlauf-Substratbehandlungsanlage und Verfahren zum Betrieb der Anlage

Legal Events

Date Code Title Description
8364 No opposition during term of opposition
R082 Change of representative

Ref document number: 1815493

Country of ref document: EP

Representative=s name: MICHALSKI HUETTERMANN & PARTNER PATENTANWAELTE, 40