DE60220787T2 - Glatter mehrteiliger substratträger für cvd - Google Patents

Glatter mehrteiliger substratträger für cvd Download PDF

Info

Publication number
DE60220787T2
DE60220787T2 DE60220787T DE60220787T DE60220787T2 DE 60220787 T2 DE60220787 T2 DE 60220787T2 DE 60220787 T DE60220787 T DE 60220787T DE 60220787 T DE60220787 T DE 60220787T DE 60220787 T2 DE60220787 T2 DE 60220787T2
Authority
DE
Germany
Prior art keywords
holding
holding members
glass
coating layer
glass substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
DE60220787T
Other languages
English (en)
Other versions
DE60220787D1 (de
Inventor
William A. San Jose BAGLEY
Ericka M. Gilroy RAMIREZ
Stephen C. Cupertino WOLGAST
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of DE60220787D1 publication Critical patent/DE60220787D1/de
Application granted granted Critical
Publication of DE60220787T2 publication Critical patent/DE60220787T2/de
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/001General methods for coating; Devices therefor
    • C03C17/002General methods for coating; Devices therefor for flat glass, e.g. float glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting substrates others than wafers, e.g. chips

Description

  • Die Erfindung betrifft ein Halteglied für großflächige Glassubstrate. Insbesondere bezieht sich die Erfindung auf ein Halteglied zum Halten von großflächigen Glassubstraten bei Hochtemperaturprozessen.
  • Zur Verwendung in Monitoren, Flachbildschirmen, Solarzellen, Personal-Digital-Assistenten (PDA), Mobiltelefonen und dergleichen hat man bisher Dünnfilmtransistoren auf großen Glassubstraten oder -platten hergestellt. Die Transistoren werden in Vakuumkammern durch aufeinander folgendes Abscheiden von unterschiedlichen Filmen hergestellt, zu denen amorphes Silicium, sowohl dotierte als auch undotierte Siliciumoxide, Siliciumnitrid und dergleichen gehören. Beispielsweise können Dünnfilme für Transistoren durch chemische Gasphasenabscheidung (CVD – Chemical Vapor Deposition) abgeschieden werden. Nach dem Abscheiden werden viele Filme, die für die Transistorherstellung Verwendung finden, Wärmebehandlungen unterworfen.
  • Die CVD ist vergleichsweise ein Hochtemperaturprozess, der es erforderlich macht, dass die Substrate Temperaturen in der Größenordnung von 300° bis 400°C aushalten. Man strebt auch Prozesse mit höherer Temperatur, beispielsweise solche von über 500°C, an. Die CVD-Filmbehandlung hat weite Anwendung bei der Herstellung von integrierten Schaltungen auf Glassubstraten gefunden. Da Glas ein dielektrisches Material ist, das sehr spröde ist und Verwerfungen und einem Reißen unterliegt, wenn es schnell auf hohe Temperaturen erhitzt wird, muss jedoch Sorge dafür getragen werden, die Geschwindigkeit der Aufheizung von großen Flächen von Substraten einzustellen, um thermische Spannung und eine sich daraus ergebende Beschädigung zu vermeiden.
  • Es gibt bereits Systeme zum Vorwärmen von Glassubstraten vor der Behandlung und zum Durchführen von Wärmenachbehandlungsvorgängen. Herkömmliche Heizkammern haben entweder ein oder mehrere beheizte Ablagen zum Erhitzen eines Glassubstrats oder einer Vielzahl von Glassubstraten. Das Glas wird gewöhnlich über einer Ablage auf Distanzstücken gehalten, um die Erwärmungsgleichförmigkeit und den Durchsatz zu verbessern. Für die Kostenminimierung werden herkömmliche Distanzstücke gewöhnlich aus einfach maschinell bearbeiteten Metallen hergestellt, beispielsweise aus rostfreiem Stahl, Aluminium, Aluminiumnitrid und dergleichen. Herkömmliche Distanzstücke können jedoch zu einem Verkratzen oder zu einer anderen Beschädigung der Oberfläche des Glases neigen, was möglicherweise zu Fehlern in oder auf der Glasfläche führt. Später können die Fehler in oder auf der Glasoberfläche bei Spaltevorgängen zu nicht normalen Abspaltungen führen, die den Verlust eines Bauelements oder das Zerbrechen eines Substrats verursachen.
  • Man nimmt an, dass in manchen Fällen Teile des Distanzstücks, die in Kontakt mit dem Glas stehen, mit dem Glas reagieren und vorübergehend daran haften können. Wenn diese Bindungen später aufgehoben werden, bleiben Reste der vorhergehenden Reaktion an dem Distanzstück, wodurch das in Behandlung befindliche Substrat potenziell beschädigt wird. Außerdem führt der Rückstand zu der Gefahr einer Beschädigung von nachher behandelten Substraten oder kann zu einer Verunreinigungsquelle in einer Wärmbehandlungskammer werden. Darüber hinaus kann der frühere Rückstand zusätzliche chemische Reaktionen zwischen dem Distanzstück und dem Glas anregen und eine Distanzstücktragfläche oder die Lebensdauer des Distanzstücks verschlechtern.
  • Deshalb besteht ein Bedürfnis für einen Glashalter für Behandlungen von Glasplatten bei hoher Temperatur, der eine Beschädigung des Glases reduziert oder beseitigt.
  • Das Dokument US-A-5,605,574 offenbart eine Waferhaltevorrichtung mit einer Vielzahl von flexiblen elastischen Haltern, die auf einer Platte angeordnet sind, wobei jedes Halteglied ein Grundbauelement, das mit der Oberfläche der Platte gekoppelt ist, und ein abgerundetes Oberteil aufweist, das zum Halten des Wafers in einer Abstandsbeziehung zur Platte angepasst ist.
  • Die Erfindung ist im Anspruch 1 definiert. Ausführungsformen der Erfindung sind in den abhängigen Ansprüchen angegeben.
  • Es wird eine Vorrichtung zum Halten eines Glassubstrats bereitgestellt. Bei einer Ausführungsform wird ein Substrathalter bereitgestellt, der ein Basisteil und ein Oberteil mit einer Oberfläche darauf hat, die zum Minimieren der Reibung und/oder von chemischen Reaktionen zwischen dem Substrathalter und einem darauf gehaltenen Substrat angepasst ist.
  • Bei einer anderen Ausführungsform hat eine Vorrichtung zum Halten eines Substrats ein Halteelement und eine Vielzahl von Haltegliedern. Wenigstens eines der Halteglieder hat insgesamt ein Grundbauglied, das mit einer ersten Seite des Halteelements gekoppelt ist, und ein rundes Oberteil, das zum Halten des Glassubstrats in einer Abstandsbeziehung zu der ersten Seite des Halteelements angepasst ist.
  • Bei einer weiteren Ausführungsform hat die Vorrichtung zum Halten eines Substrats eine Ablage und eine Vielzahl von Haltegliedern. Wenigstens eines der Halteglieder hat insgesamt ein Grundbauglied, das mit einer ersten Seite der Ablage gekoppelt ist, sowie ein rundes Oberteil, das zum Halten des Glassubstrats in einer Abstandsbeziehung zu der ersten Seite der Ablage angepasst ist. Auf wenigstens einer Oberseite des Oberteils ist eine Beschichtung angeordnet.
  • Bei einer weiteren Ausgestaltung hat die Vorrichtung zum Halten eines Substrats eine Kammer sowie eine Vielzahl von Ablagen, die in einer Abstandsbeziehung in der Kammer angeordnet sind. Jede Ablage hat eine Vielzahl von Haltegliedern, die darauf angeordnet sind. Wenigstens eines der Halteglieder hat insgesamt ein Grundbauglied, das mit der ersten Seite der Ablage gekoppelt ist, sowie ein rundes Oberteil, das zum Halten des Glassubstrats in einer Abstandsbeziehung zu der ersten Seite der Ablage angepasst ist.
  • In einer weiteren Ausgestaltung hat die Vorrichtung zum Halten eines Glassubstrats ein Kammergehäuse und eine Vielzahl von Halteelementen, die mit einer Seitenwand des Kammergehäuses gekoppelt sind. Das Kammergehäuse hat eine erste Glasüberführungsöffnung und eine zweite Glasüberführungsöffnung, die jeweils durch ein erstes bzw. zweites Schlitzventil abgedichtet sind. An den Halteelementen ist eine Vielzahl von Haltegliedern angeordnet. Wenigstens eines der Halteglieder hat ein Grundbauglied, das mit den Halteelementen gekoppelt ist, sowie ein rundes Oberteil. Das runde Oberteil ist zum Halten des Glassubstrats in einer Abstandsbeziehung zu dem Halteelement angepasst.
  • Damit die Art und Weise, in der die vorstehend angegebenen Merkmale, Vorteile und Ziele der vorliegenden Erfindung erreicht und im Einzelnen verstanden werden können, folgt eine spezielle Beschreibung der vorstehend kurz zusammengefassten Erfindung unter Bezug auf ihre Ausführungsformen, die in den beiliegenden Zeichnungen dargestellt sind.
  • Zu erwähnen ist jedoch, dass die beiliegenden Zeichnungen nur typische Ausführungsformen dieser Erfindung zeigen und deshalb nicht als Beschränkung des Umfangs angesehen werden können, da sich die Erfindung auch auf andere, gleichermaßen wirksame Ausführungsformen erstrecken kann.
  • 1 ist eine Schnittansicht einer Ausführungsform einer Heizkammer mit einem darin angeordneten erfindungsgemäßen Halteglied.
  • 2 ist eine Schnittansicht einer Ausführungsform eines erfindungsgemäßen Halteglieds.
  • 3 ist eine Draufsicht auf eine Ausführungsform mit einer Ablage mit einer Vielzahl von Haltegliedern.
  • 4 ist eine Schnittansicht einer Ausführungsform einer Ladeschleusenkammer eines Halteelements mit einer Vielzahl von Haltegliedern.
  • Die vorliegende Erfindung bezieht sich auf ein Halteglied für Glassubstrate, das in vorteilhafter Weise dafür geeignet ist, Schäden an dem Glassubstrat zu verringern, die entweder durch Reibung, chemische Reaktion oder durch eine Kombination von Reibung und chemischen Reaktionen verursacht werden.
  • 1 zeigt eine Ausführungsform eines Halteglieds der vorliegenden Erfindung, das in einer entsprechenden Heizkammer 10 angeordnet ist. Die konventionelle Heizkammer 10 hat Seitenwände 12, 14, eine Bodenwand 16 und einen Deckel 18. In 1 nicht gezeigte zusätzliche Seitenwände 13, 15 sind senkrecht zu den Seitenwänden 12, 14 und vervollständigen den Aufbau der Heizkammer 10. Die an ein Behandlungssystem (nicht gezeigt) angrenzende Seitenwand 13 ist mit einem Schlitzventil (nicht gezeigt) versehen, durch welches die Glasplat ten von dem Behandlungssystem in die Heizkammer 10 und aus ihr heraus überführt werden können.
  • An den Seitenwänden 12 und 14 sind geeignete Heizwicklungen 20 zum Steuern der Temperatur der Kammer 10 angebracht. Die Heizwicklungen können eine elektrische Widerstandsheizung oder eine Leitung zum Umlaufenlassen von Gas oder Flüssigkeit für die Wärmeübertragung sein. Die Bodenwand 16 ist mit Einlass- und Auslassrohren 24 bzw. 26 für den Umlauf eines temperaturgesteuerten Fluids und/oder mit einem Kanal 27 für die Aufnahme von Drähten von Widerstandswicklungen 20 versehen, die mit einer Stromquelle (nicht gezeigt) verbunden sind. Alternativ können die gleichen Kanäle 24, 26 dazu verwendet werden, sowohl die Heizwicklungen 20 aufzunehmen als auch in den Kanälen 22 ein Wärmeübertragungsmedium umlaufen zu lassen. Die Seitenwände 12, 14 sind innen mit einer Vielzahl von Halteelementen, wie wärmeleitenden Ablagen 28, versehen. Die Ablagen 28 haben einen guten Wärmekontakt mit den Wänden 12, 14, um eine schnelle und gleichförmige Temperatursteuerung der Ablagen 28 zu gewährleisten. Beispiele für Materialien, die für die Ablagen 28 verwendet werden können, sind, ohne darauf beschränkt zu sein, Aluminium, Kupfer, nichtrostender Stahl, beschichtetes Kupfer und dergleichen.
  • Auf der Ablage 28 sind einer oder mehrere äußere Halteglieder 30 in geeigneter Weise angeordnet, um den Umfang des Glassubstrats 32 abzustützen, während ein oder mehrere innere Halteglieder 50 nach den Ausführungsformen der vorliegenden Erfindung auf der Ablage 28 angeordnet sind, um den zentralen Teil des Glassubstrats 32 abzustützen. Bei der in 3 gezeigten Ausführungsform sind auf gegenüberliegenden Seiten 12 und 14 der Ablage 28 drei Halteglieder 30 angeordnet, um den Umfang des Substrats 32 abzustützen, während zwei Halteglieder 50 innerhalb der Halteglieder 30 angeordnet sind, um einen zentralen Teil des Glassubstrats 32 abzustützen.
  • Die Halteglieder 30, 50 von 1 dienen für ein solches Abstützen des zu behandelnden Glassubstrats 32, dass ein Spalt zwischen den Ablagen 28 und den Glassubstraten 32 vorhanden ist. Dieser Spalt gewährleistet, dass ein direkter Kontakt der Ablage 28 mit den Glassubstraten 32 vermieden wird, der zu Spannungen und zum Zerbrechen der Glassubstrate 32 oder dazu führen könnte, dass Verunreinigungen von der Ablage 28 auf die Glassubstrate 32 über tragen werden. Anstatt durch direkten Kontakt zwischen dem Glassubstrat 32 und den Ablagen 28 wird das Glassubstrat 32 indirekt durch Strahlung und Gasleitung erhitzt.
  • Das Ineinanderstellen der Glassubstrate 32 und der Ablagen 28 sorgt für ein Erhitzen der Glassubstrate 32 sowohl von oben als auch nach unten, was ein schnelleres und gleichförmiges Erhitzen der Glassubstrate 32 ergibt.
  • 2 ist eine Schnittansicht einer Ausführungsform eines inneren Halteglieds 50 gemäß den Aspekten der vorliegenden Erfindung. Das innere Halteglied 50 hat ein Grundbauglied 52 mit einem insgesamt zylindrischen Querschnitt und einem abgerundeten Oberteil 54. Die von dem inneren Halteglied 50 abgestützten Glassubstrate stehen in Kontakt mit einer Fläche angrenzend an das runde Oberteil 54 oder in seiner Nähe und werden dadurch gehalten. Das Grundbauglied 52 hat ein hohles Zentrum 56, das für die Aufnahme eines Haltestiftes 58 angepasst ausgebildet ist, wodurch das innere Halteglied 50 auf seiner jeweiligen Ablage 28 innerhalb der Heizkammer 10 gehalten wird. Ein Vorteil der Verwendung des Haltestifts 58 anstatt einer direkten Anbringung des inneren Substrathalters 50 auf der Ablage 28 besteht darin, dass sich Materialauswahlkriterien für das innere Halteglied 50 und die Ablage 28 unterscheiden und voraussichtlich zur Wahl von unterschiedlichen Materialien und möglichen Problemen führen, zu denen unterschiedliche Wärmeausdehnungskoeffizienten für die ausgewählten Materialien und eine diesbezügliche Fehlanpassung der Wärmeausdehnungskoeffizienten gehören. Durch Verwenden des Stifts 58 kann sich das innere Halteglied 50 getrennt von der Ausdehnung und Kontraktion der angrenzenden Ablage 28 ausdehnen und zusammenziehen.
  • Das Oberteil 54 des Grundbauglieds 52 hat eine abgerundete und glatte Außenfläche. Bei einer Ausgestaltung hat das Oberteil 54 ein halbkugelförmiges, konisches, ellipsenförmiges und parabolisches Ende. Das Oberteil 54 kann eine spanend oder durch Polieren erhaltene Oberflächengüte oder eine andere geeignete Oberflächengüte mit ausreichender Glätte haben. Bei einer bevorzugten Ausgestaltung hat das Oberteil 54 eine Oberflächengüte mit einer Glätte von R4 oder besser, was bedeutet, dass die Oberfläche auf eine Rauhigkeit von weniger als 0,1016 μm (4 Mikrozoll) poliert ist. Bei einer anderen bevorzugten Ausgestaltung ist die Querschnittsform des inneren Substrathalters 50 ein Zylinder mit einem Vollradius am Oberteil 54.
  • Das Material des Grundbauglieds 52 wird durch maschinelle Bearbeitung in eine Form gebracht, die zum Stützen von Glas während der Wärmebehandlung geeignet ist. In einer Ausgestaltung ist die Querschnittsform des Grundbauglieds 52 insgesamt zylindrisch mit einer abgerundeten Oberseite. Bei einer bevorzugten Ausgestaltung ist der oberste Teil, der zum Halten der Glassubstrate verwendet wird, abgerundet und hat eine glatte Außenfläche. Das zur Bildung des Grundbauglieds 52 verwendete Material wird so ausgewählt, dass ein leichtes Material-abtragendes Bearbeiten und bei einigen Ausgestaltungen niedrige Kosten erhalten werden. Bei einer Ausführung wird das Grundbauglied 52 aus rostfreiem Stahl oder rostfreiem Stahl mit niedrigem Kohlenstoffgehalt hergestellt. Bei einer anderen Ausführung ist das Grundbauglied 52 aus Inconel oder anderen Nickellegierungen hergestellt.
  • Obwohl Ausführungsformen der vorliegenden Erfindung beschrieben werden, die ein Glashalteglied mit einem Grundbauglied 52 haben, das aus Metall oder einer Metalllegierung gebildet wird und eine Überzugsschicht 60 hat, ist klar, dass auch andere Materialien für das Grundbauglied 52 verwendet werden können, die eine Überzugsschicht 60 benötigen. Das Grundbauglied 52 kann aus Materialien hergestellt werden, die reibungsunterdrückende und chemische Reaktionen unterbindende Merkmale nach der vorliegenden Erfindung haben. Beispielsweise kann das Grundbauglied 52 aus Quarz oder Saphir oder einem anderen nichtmetallischen Material bestehen, das die Vorteile der vorliegenden Erfindung hat. In einigen Fällen können diese alternativen Materialien ohne die Überzugsschicht 60 verwendet werden.
  • Die Überzugsschicht 60 wird gewöhnlich wenigstens über einer Oberseite 90 des Oberteils 54 abgelegt. Alternativ kann die Überzugsschicht 60 über jedem Abschnitt des Oberteils 54 und/oder des Grundbauglieds 52 abgelegt werden. Bei einer Ausführungsform hat die Überzugsschicht 60 der vorliegenden Erfindung eine ausreichende Dicke, um als Sperrschicht zu wirken, die einen Kontakt zwischen dem Grundbauglied 52 und dem Glassubstrat 32 verhindert. Darüber hinaus wird auch die Reaktion von Verunreinigungen zwischen dem Grundbauglied 50 und dem inneren Substratträger im Wesentlichen verhindert. Verunreinigungen können in diesem Zusammenhang eine breite Vielfalt von Materialien sein, zu denen Spurenmaterialien gehören, die in dem Grundbauglied 52 vorhanden sind. Beispielsweise ist in vielen Arten von nichtrostendem Stahl, der zur Verwendung als Grundbauglied 32 geeignet ist, Chrom vorhanden. Man nimmt an, dass Sperrschichtausführungen der Oberflächenbeschichtung 60 der vorliegenden Erfindung in der Lage sind, Reaktionen zwischen dem in dem Grundbauglied 32 vorhandenen Chrom und dem Glassubstrat 32 zu verringern oder zu beseitigen. Bei Ausgestaltungen, bei denen die Überzugsschicht 60 Reaktionen zwischen dem Grundmaterial 52 und dem Glassubstrat 32 verringert oder beseitigt, kann das Oberteil 54 abgerundet sein und/oder einen ebenen Mittenabschnitt haben, auf dem das Glas 32 abgestützt wird. Der ebene Mittenabschnitt ist gewöhnlich von einer Schrägung oder einem Radius umgeben, um ein mögliches Verkratzen während des Ladens und des Erhitzens des Substrats 32 zu minimieren.
  • Ausführungen der Überzugsschicht 60, die in der Lage ist, Reaktionen zwischen dem Grundmaterial 52 und dem Glassubstrat 32 zu verringern oder zu beseitigen, sind CVD-Nitrierprozesse und PVD-Zerstäubungsprozesse. Beispielsweise kann ein Grundbauglied 52, das wie oben beschrieben geformt ist, in einer Reaktionskammer angeordnet und einer Atmosphäre ausgesetzt werden, die Ammoniak und/oder Stickstoff und/oder Wasserstoff und/oder andere reduzierende Gase aufweist, um auf den freiliegenden Flächen des Grundbauglieds 52 eine Nitrierschicht auszubilden. Als Folge dieses Prozesses wird eine CVD-Nitridüberzugsschicht 60 über dem Oberteil 54 des Grundbauglieds 52 gebildet.
  • Der vorstehend beschriebene CVD-Prozess oder ein anderer geeigneter Prozess zur Bildung einer Nitridfläche auf der freiliegenden Oberfläche des Grundbauglieds 52 dauert an, bis die Nitridschicht ausreichend dick ist, um eine Reaktion zwischen dem Grundbauglied 52 und dem Glassubstrat 32 zu verringern oder zu verhindern. Bei einer Ausführungsform wird die Überzugsschicht 60 durch CVD bis zu einer Dicke von wenigstens 3 Mikron gebildet. Bei einer anderen Ausgestaltung wird die Überzugsschicht 60 durch die CVD bis zu einer Dicke von zwischen etwa 3 μm und etwa 20 μm gebildet.
  • Bei einer alternativen Ausführungsform wird die Überzugsschicht 60, die in der Lage ist, eine Reaktion zwischen dem Grundmaterial 52 und dem Glassubstrat 32 zu reduzieren oder zu beseitigen, auf wenigstens das Oberteil 54 des Grundbauglieds 52 aufgesprüht. Bei einer Ausgestaltung wird die Überzugsschicht 60 durch eine geeignete physikalische Gasphasenabscheidung (PVD – Physical Vapor Deposition) zur Schaffung einer nitrierten Oberfläche auf der Außenfläche des Grundbauglieds 52 gebildet. Bei der bevorzugten Ausführung weist die Überzugsschicht 60 Titannitrid auf und wird durch ein Zerstäubungsverfahren, wie die physikalische Gasphasenabscheidung, gebildet. Bei einer anderen alternativen Ausführungsform wird die Überzugsschicht 60 durch die physikalische Gasphasenabscheidung gebildet und hat eine Dicke, die ausreicht, chemische Reaktionen zwischen dem Grundbauglied 52 und dem Glassubstrat 32 zu verringern oder auszuschließen. Bei wieder einer anderen alternativen Ausgestaltung wird die Überzugsschicht 60 durch ein physikalisches Gasphasenabscheidungsverfahren gebildet und hat eine Dicke von wenigstens etwa 3 μm: Bei einer anderen alternativen Ausgestaltung ist wiederum die PVD-Überzugsschicht zwischen etwa 3 μm und etwa 20 μm dick. In weiterer Ausgestaltung besteht die Überzugsschicht aus Titannitrid, das durch Zerstäuben oder durch einen anderen physikalischen Gasphasenabscheidungsprozess gebildet wird.
  • In alternativer Ausgestaltung wirkt die Überzugsschicht 60 als reibungsreduzierende Schicht zwischen dem Bauglied 52 und dem Glassubstrat 32. Die Reibungsverringerung bezieht sich in diesem Zusammenhang auf eine Reduzierung oder einen Ausschluss einer Beschädigung an dem Glassubstrat 32, die durch Reiben, Vibration oder einen anderen Kontakt zwischen dem Glassubstrat 32 und dem inneren Halteglied 50 verursacht wird. Man nimmt an, dass Ausführungen der reibungsreduzierenden Oberflächenüberzugsschicht 60 der vorliegenden Erfindung formangepasste Filme sind, so dass die Gesamtform des Grundbauglieds 52 beibehalten wird. Bei einer bevorzugten Ausgestaltung der reibungsreduzierenden Überzugsschicht 60 ist sie formgleich zu dem darunterliegenden Grundbauglied 52 und hält seine glatte polierte Oberflächengüte bei.
  • Zu den Ausgestaltungen der Überzugsschicht 60, die in der Lage sind, einen reibungsinduzierten Schaden an den Glassubstraten 32 zu reduzieren, gehören CVD-Nitrierprozesse und PVD-Zerstäubungsprozesse. Beispielsweise kann ein Grundbauglied 52, das wie vorstehend beschrieben geformt ist, in einer Reaktionskammer angeordnet und einer Atmosphäre ausgesetzt werden, die Ammoniak und/oder Stickstoff und/oder Wasserstoff und/oder andere reduzierende Gase aufweist, um die Nitrierschicht auf der freiliegenden Oberfläche des Grundbauglieds 52 zu bilden. Als Folge dieses Prozesses wird eine formgleiche CVD-Nitridoberflächenüberzugsschicht 60 auf dem Oberteil des Grundbauglieds 52 gebildet. Der vorstehend beschriebene CVD-Prozess oder andere geeignete Prozesse dauern an, bis die Nitridschicht ausreichend dick und formgleich ist, um einen Reibungsschaden zwischen dem inneren Halteglied 50 und dem Glassubstrat 32 zu verringern.
  • Bei einer alternativen Ausgestaltung wird die reibungsreduzierende Überzugsschicht 60 durch CVD bis zu einer Dicke von wenigstens etwa 3 Mikron gebildet. Bei einer anderen Ausgestaltung wird die reibungsreduzierende Überzugsschicht 60 durch CVD bis zu einer Dicke von etwa 3 μm bis etwa 30 μm gebildet.
  • Bei einer alternativen Ausführungsform wird eine Überzugsschicht 60, die in der Lage ist, eine Reibungsbeschädigung zwischen dem inneren Stützglied 50 und dem Glassubstrat 32 zu verringern, auf die Außenfläche des Grundbauglieds 52 gesprüht. Bei einer Ausgestaltung wird eine reibungsreduzierende Überzugsschicht 60 durch den geeigneten Prozess der physikalischen Gasphasenabscheidung (PVD) gebildet, um eine nitrierte Oberfläche über wenigstens dem Oberteil 54 des Grundbauglieds 52 zu erzeugen. In bevorzugter Ausführung weist die reibungsreduzierende Überzugsschicht 60 Titannitrid auf und wird durch ein Zerstäubungsverfahren oder eine physikalische Gasphasenabscheidung ausgebildet. Bei einer anderen alternativen Ausgestaltung wird die reibungsreduzierende Überzugsschicht 60 durch physikalische Gasphasenabscheidung gebildet und ist konform zu der Form und Oberflächengüte des Grundbauglieds 52 mit einer Dicke, die ausreicht, um eine Reibungsbeschädigung des Glassubstrates 32 durch das innere Halteglied 50 zu verringern.
  • Bei einer anderen alternativen Ausgestaltung wird die reibungsreduzierende Überzugsschicht 60 der vorliegenden Erfindung durch physikalische Gasphasenabscheidung gebildet und ist konform zu der Gestalt des inneren Halteglieds 50. Die Überzugsschicht 60 entspricht der polierten Oberflächenvergütung des Grundbauglieds 52 und des Oberteils 54. Die Überzugsschicht 60 ist gewöhnlich wenigstens etwa 3 μm dick. Bei einer anderen alternativen Ausführungsform ist die PVD-Überzugsschicht konform und zwischen etwa 3 μm und etwa 20 μm dick. Bei einer weiteren alternativen Ausgestaltung ist die Überzugsschicht 60 eine konforme Titannitridschicht, die durch Zerstäubung oder einen anderen physikalischen Gasphasenabscheidungsprozess ausgebildet wird.
  • Unabhängig von dem Verfahren ihrer Ausbildung gibt die Oberflächenüberzugsschicht 60 dem Grundbauglied 52 eine glatte Außenoberfläche 52. Man nimmt an, dass die vorstehend beschriebenen alternativen Ausgestaltungen der Oberflächenüberzugsschicht 60 eine Oberfläche beibehalten, die wenigstens so glatt wie die ursprüngliche Oberflächenvergütung des Grundbauglieds 52 ist. Alternativ kann die Überzugsschicht 60 so behandelt werden, dass sie eine Oberflächenvergütung aufweist. Man nimmt an, dass die inneren Halteglieder 50, die nach der Erfindung ausgebildet werden und eine oben beschriebene Oberflächenüberzugsschicht 60 haben, die Reibung zwischen dem Glassubstrat 32 verringern, das auf dem inneren Halteglied 50 abgestützt ist, und bei bestimmten Ausführungen auch chemische Reaktionen zwischen Metallen und anderen Verunreinigungen in dem Grundbauglied 52 und/oder dem darauf angeordneten Glas 32 einschränken.
  • Natürlich ist ein inneres Halteglied 50, das nach den Aspekten der vorliegenden Erfindung hergestellt ist, für Wärmebehandlungsvorgänge geeignet, die über 250°C ausgeführt werden. Unter Verwendung eines inneren Halteglieds 50 der vorliegenden Erfindung können auch andere Wärmebehandlungsvorgänge ausgeführt werden, beispielsweise Wärmebehandlungsprozesse, die bei der Herstellung von Niedertemperaturpolysilicium zum Einsatz kommen. Man nimmt an, dass Glashalteglieder, die nach der vorliegenden Erfindung hergestellt sind, für Wärmebehandlungsvorgänge geeignet sind, die über etwa 450°C bis zu und einschließlich 600°C ausgeführt werden, was von der Verwendung und den Glasmaterialeigenschaften abhängt. Man geht davon aus, dass die vorstehend beschriebene Oberflächenüberzugsschicht 60 eine Schutzschicht bildet, die sowohl die Wahrscheinlichkeit einer Beschädigung durch Reibung zwischen dem Grundbauglied 52 und dem abzustützenden Glassubstrat verringert als auch als Sperrschicht wirkt, um eine Reaktion zwischen Verunreinigungen oder Metallen in dem Grundbauglied 52 und dem Glassubstrat 32 zu verhindern.
  • Die Ausführungen des inneren Halteglieds 50 wurden vorstehend als zentraler Träger gezeigt und beschrieben, um einen Schaden, der sich bei anomalen Spaltvorgängen ergeben kann, oder einen aktiven Flächenschaden zu verringern, der die Bauelementausbeute nachteilig beeinträchtigen kann. Die vorstehend beschriebenen Ausgestaltungen zeigen ein inneres Halteglied 50 als zentraler Träger, während herkömmliche äußere Halteglieder 30 zum Abstützen des Umfangs der Glasplatte 32 verwendet werden können. Natürlich können die äußeren Halteglieder 30 vorteilhafterweise ähnlich wie die inneren Halteglieder 30 ausgebildet werden, insbesondere für Gasprozesssequenzen mit hoher Temperatur. Als Folge einer Verwendung von Glashaltegliedern 30 und 50, die wie das unter Bezug auf 2 beschriebene innere Halteglied 50 ausgestaltet sind, kann eine Beschädigung an dem Glassubstrat 32 reduziert oder ausgeschlossen werden, wodurch die Ausbeute an einem gegebenen Glassubstrat gesteigert wird.
  • Obwohl die Halteglieder 30 und 50 im Hinblick auf spezielle Materialien und Verunreinigungen beschrieben wurden, ist es natürlich selbstverständlich, dass andere Wärmebehandlungseinsätze Grundbauhalter 52 erfordern können, die aus anderen unterschiedlichen Materialien hergestellt werden, wodurch sie alternative Überzugsschichten 60 benötigen, die als Sperrschicht für andere unterschiedliche Verunreinigungen als die vorstehend beschriebenen wirken.
  • Obwohl die Erfindung für die Verwendung mit Glassubstraten beschrieben wurde, können andere Ausgestaltungen der Halteglieder 30 und 50 der vorliegenden Erfindung dazu verwendet werden, einen Reibungsschaden und eine chemische Reaktion zwischen den Haltegliedern 30, 50 und anderen Substratmaterialien zu verringern. Beispielsweise kann eine Überzugsschicht 60 so ausgewählt werden, dass die Diffusion von Verunreinigungen des Grundmaterials 52 in alternative Substrattypen, wie beispielsweise Kunststoffsubstrate, vermieden wird. Obwohl die vorliegende Erfindung zusammen mit der Verwendung in einem vorstehend beschriebenen Heizsystem 10 erörtert wurde, können andere Wärmebehandlungssysteme und Kammern zum Einsatz kommen. Beispielweise können Widerstandsheizelemente direkt in Ablagen 28 eingeschlossen werden, um für eine Beheizung und Temperatursteuerungen der darin zu behandelnden Glassubstrate 32 zu sorgen. Die Verfahren und Vorrichtungen der vorliegenden Erfindung können unabhängig von und ohne Berücksichtigung der Art der Heizkammer ausgeführt werden, in der die Ausführung der vorliegenden Erfindung verwendet wird.
  • Obwohl die Auslegung eines hohlen Zentrums 56 und eines Montagestifts 58 mit ihrer zweckmäßigen Anwendung zum Ausgleich einer Wärmeausdehnungsfehlanpassung vorstehend beschrieben wurden, können die Halteglieder 30 und 50 unter Verwendung anderer Einrichtungen an der Ablage 28 befestigt werden. Es können andere Formen einer mechanischen Befestigung, wie beispielsweise ein Kaltpressen, zum Einsatz kommen, um Glasabstützglieder 30 und 50 an einer Ablage 28 zu befestigen. Natürlich kommen auch Verfahren zum Befestigen und Fixieren von Ausgestaltungen der Glashalteglieder 30 und 50 an der Heizablage 28 in Betracht.
  • Obwohl die vorstehend beschriebene und gezeigte Überzugsschicht 60 in dem oberen Teil 54 gezeigt ist und nur einen Teil des Grundbauglieds 52 abdeckt, können natürlich auch andere Beschichtungsgrade verwendet werden. Beispielsweise kann die Überzugsschicht 60 alle freiliegenden Abschnitte des Grundbauglieds 52 abdecken oder kann dazu verwendet werden, nur das Oberteil 54 abzudecken. Bei einigen Ausgestaltungen kann die Überzugsschicht 60 alle Oberflächen des Grundbauglieds 52 einschließlich derjenigen bedecken, die in Kontakt mit der Ablage 28 stehen. Bei bevorzugten Ausgestaltungen wird die Menge der Überzugsschicht 60, die auf das Grundbauglied 52 aufgebracht wird, optimiert, um die Vorteile der vorliegenden Erfindung zur Reduzierung der chemischen Reaktion und/oder Reibung zu erhalten.
  • 4 zeigt eine Schnittansicht einer Ausführungsform einer Ladeschleusenkammer 400, die eine Vielzahl von Haltegliedern 30 aufweist und in der wenigstens ein Halteglied 50 angeordnet ist. Die Ladeschleusenkammer 400 hat insgesamt ein Kammergehäuse 402 mit einer ersten Glasüberführungsöffnung 404 sowie eine darin angeordnete zweite Glasüberführungsöffnung 406. Insgesamt ist jede Öffnung 404 und 406 selektiv durch ein Schlitzventil 408 abgedichtet. Die Ladeschleusenkammer ist beispielsweise zwischen einer ersten Atmosphäre und einer Vakuumatmosphäre angeordnet, die in Kammern (nicht gezeigt) aufrechterhalten werden, die jeweils an der ersten und zweiten Öffnung 404, 406 angeordnet sind, und wird dazu verwendet, eine Überführung des Glases 32 in die Vakuumatmosphäre und aus ihr heraus ohne Verlust des Vakuums zu ermöglichen. Das Kammergehäuse 402 hat zusätzlich eine Pumpöffnung 410, über die der Druck in dem Kammergehäuse 402 reguliert werden kann. Fakultativ kann das Kammergehäuse 402 eine Lüftung 412 aufweisen, um den Druck in dem Kammergehäuse 402 anzuheben, wenn sich das Kammergehäuse 402 im Vakuumzustand befindet. Gewöhnlich wird die Luft oder ein Fluid, das in die Kammer 400 durch die Lüftung 412 eintritt, durch einen Filter 414 geführt, um den Eintritt von Teilchen in die Kammer 400 zu minimieren. Solche Filter sind gewöhnlich von Camfil-USA, Inc., Riverdale, New Jersey, erhältlich.
  • Gewöhnlich ist in dem Kammergehäuse 402 eine Vielzahl von Halteelementen 416 angeordnet, von denen jedes wenigstens ein Halteglied 30 und/oder 50 aufweist. Jedes Halteelement 416 ist gewöhnlich mit wenigstens einer Wand 418 der Kammer 400 gekoppelt. Bei der in 4 gezeigten Ausführungsform weisen die Halteelemente 416 eine erste Gruppe von Halteelementen 420, die kragarmförmig mit der Wand 418 gekoppelt sind, sowie eine zweite Gruppe von Halteelementen 422 auf, die zwischen die Wand 418 und eine gegenüberliegende Wand (nicht gezeigt) gekoppelt sind. Insgesamt hält die erste Gruppe von Halteelementen 420 die Halteglieder 30, die den Umfang des Glases 32 darauf abstützen, während die zweite Gruppe von Halteelementen 422 den zentralen Abschnitt des Glases 32 hält. Alternativ können die Halteglieder mit anderen Teilen des Kammergehäuses 402, wie den anderen Seitenwänden, dem Boden oder Kombinationen davon gekoppelt werden. Zusätzlich können einige oder alle Halteglieder 30 auf Halteelementen 416 angeordnet werden, die sich zwischen den Seitenwänden erstrecken, während einige oder alle der Halteglieder 50 auf Halteelementen 416 angeordnet werden können, die mit nur einem Teil des Kammergehäuses gekoppelt werden (d.h. kragarmartig). Darüber hinaus kann/können ein oder mehrere der Halteglieder 30 ähnlich oder identisch mit dem Halteglied 50 gestaltet sein. Es können andere Ladeschleusen entworfen werden, um Halteglieder 50 einschließlich solcher zu nutzen, die Mehrfachsubstrate handhaben, die in der Kammer 400 auf einem zweiten Satz von Halteelementen gestapelt werden, die in einer Ebene darüber und parallel zu den Halteelementen 416 angeordnet sind, wie sie in 4 gezeigt sind.
  • Obwohl sich die vorstehenden Ausführungen auf Ausgestaltungen der vorliegenden Erfindung richten, können auch andere und weitere Ausgestaltungen der Erfindung ersonnen werden, ohne von deren Grundrahmen abzuweichen, der durch die nachstehenden Ansprüche bestimmt ist.

Claims (10)

  1. Vorrichtung zum Halten eines Glassubstrats – mit einem Halteelement (28), das eine erste Seite hat, und mit einer Vielzahl von Haltegliedern (50), die an dem Halteelement angeordnet sind, – wobei wenigstens eines der Halteglieder (50) – ein Grundbauglied (50), das mit der ersten Seite des Halteelements (28) gekoppelt ist, und – ein abgerundetes Oberteil (54) aufweist, das zum Halten des Glassubstrats in einer Abstandsbeziehung zur ersten Seite des Halteelements (28) angepasst ist, dadurch gekennzeichnet, – dass das abgerundete Oberteil eine Oberflächenrauigkeit von 0,1016 μm (4 Mikrozoll) hat oder glatter ist.
  2. Vorrichtung nach Anspruch 1, bei welcher das abgerundete Oberteil weiterhin ein halbkugelförmiges, konisches, ellipsenförmiges oder parabolisches Ende hat.
  3. Vorrichtung nach Anspruch 1, welche weiterhin eine Vielzahl von mit der ersten Seite des Halteelements gekoppelten Montagestiften aufweist, von denen jeder mit einem entsprechenden Halteglied gekoppelt ist.
  4. Vorrichtung nach Anspruch 3, bei welcher das Grundbauglied hohl ist und wenigstens einen Teil des Montagestifts aufnimmt.
  5. Vorrichtung nach Anspruch 1, bei welcher die Vielzahl der Halteglieder weiterhin – einen ersten Satz von Haltegliedern, die längs wenigstens eines Teils des Umfangs des Halteelements angeordnet sind, und – wenigstens einen zweiten Satz von Haltegliedern mit wenigstens einem innerhalb des ersten Satzes angeordneten Halteglied aufweist.
  6. Vorrichtung nach Anspruch 1, bei welcher die wenigstens einen Halteglieder aus einem nichtmetallischen Material bestehen.
  7. Vorrichtung nach Anspruch 6, bei welcher die wenigstens einen Halteglieder aus Quarz oder Saphir bestehen.
  8. Vorrichtung nach Anspruch 1, bei welcher die wenigstens einen Halteglieder aus einem rostfreien Stahl oder einer Nickellegierung bestehen.
  9. Vorrichtung nach Anspruch 1, bei welcher die wenigstens einen Halteglieder weiterhin eine Beschichtung haben, die die Oberflächenrauigkeit von 0,1016 μm (4 Mikrozoll) hat oder glatter ist.
  10. Vorrichtung nach Anspruch 9, bei welcher die Beschichtung eine Nitridschicht ist.
DE60220787T 2001-05-22 2002-05-02 Glatter mehrteiliger substratträger für cvd Expired - Lifetime DE60220787T2 (de)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US29300901P 2001-05-22 2001-05-22
US293009P 2001-05-22
US09/963,020 US6528767B2 (en) 2001-05-22 2001-09-24 Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US963020 2001-09-24
PCT/US2002/013993 WO2002095808A1 (en) 2001-05-22 2002-05-02 Smooth multipart substrate support member for cvd

Publications (2)

Publication Number Publication Date
DE60220787D1 DE60220787D1 (de) 2007-08-02
DE60220787T2 true DE60220787T2 (de) 2008-04-10

Family

ID=26967690

Family Applications (1)

Application Number Title Priority Date Filing Date
DE60220787T Expired - Lifetime DE60220787T2 (de) 2001-05-22 2002-05-02 Glatter mehrteiliger substratträger für cvd

Country Status (8)

Country Link
US (2) US6528767B2 (de)
EP (1) EP1390968B1 (de)
JP (1) JP2005509275A (de)
KR (1) KR100570559B1 (de)
CN (1) CN1276466C (de)
DE (1) DE60220787T2 (de)
TW (1) TW591685B (de)
WO (1) WO2002095808A1 (de)

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US6528767B2 (en) * 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
KR100429296B1 (ko) * 2002-09-09 2004-04-29 한국전자통신연구원 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조방법
TWI220786B (en) * 2002-09-11 2004-09-01 Au Optronics Corp Supporting structure
JP2004260174A (ja) * 2003-02-25 2004-09-16 Samsung Electronics Co Ltd 半導体素子製造装置
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
US7355418B2 (en) * 2004-02-12 2008-04-08 Applied Materials, Inc. Configurable prober for TFT LCD array test
US7319335B2 (en) * 2004-02-12 2008-01-15 Applied Materials, Inc. Configurable prober for TFT LCD array testing
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US6833717B1 (en) * 2004-02-12 2004-12-21 Applied Materials, Inc. Electron beam test system with integrated substrate transfer module
US7263894B2 (en) * 2004-06-07 2007-09-04 Radi Medical Systems Ab Sensor and guide wire assembly
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7535238B2 (en) * 2005-04-29 2009-05-19 Applied Materials, Inc. In-line electron beam test system
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
CN101400991B (zh) * 2006-03-14 2013-03-20 应用材料公司 减小多个柱状电子束测试系统中的串扰的方法
JP5105396B2 (ja) * 2006-04-12 2012-12-26 東京応化工業株式会社 加熱処理装置
US7786742B2 (en) * 2006-05-31 2010-08-31 Applied Materials, Inc. Prober for electronic device testing on large area substrates
US7602199B2 (en) * 2006-05-31 2009-10-13 Applied Materials, Inc. Mini-prober for TFT-LCD testing
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
KR101411620B1 (ko) * 2007-02-16 2014-06-25 엘아이지에이디피 주식회사 평판표시소자 제조장치의 로드 락 챔버
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
KR101069385B1 (ko) * 2009-06-08 2011-09-30 세메스 주식회사 기판 처리 장치
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5425656B2 (ja) * 2010-02-15 2014-02-26 東京エレクトロン株式会社 基板処理装置及びロードロック装置
JP5644256B2 (ja) * 2010-08-20 2014-12-24 豊田合成株式会社 化合物半導体の製造装置及び化合物半導体の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102517565B (zh) * 2011-12-22 2015-06-17 上海华虹宏力半导体制造有限公司 直立式沉积炉管
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US8865602B2 (en) * 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
DE102013106461B4 (de) * 2013-06-20 2016-10-27 Kgt Graphit Technologie Gmbh Haltestifte zum Halten von Wafern in Waferbooten und Verfahren zum Herstellen solcher Haltestifte
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US9650713B2 (en) 2015-03-12 2017-05-16 Kennamtetal Inc. PVD-coated cutting tools and method for making the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10453734B2 (en) 2015-07-02 2019-10-22 Asml Netherlands B.V. Substrate holder, a lithographic apparatus and method of manufacturing devices
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
CN105118803B (zh) * 2015-08-21 2019-01-22 京东方科技集团股份有限公司 顶针机构及支撑装置
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
KR101719176B1 (ko) * 2015-09-11 2017-03-23 주식회사 선익시스템 글라스기판 서포트방법
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10720348B2 (en) 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7110020B2 (ja) * 2018-07-24 2022-08-01 キオクシア株式会社 基板支持装置およびプラズマ処理装置
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4621936A (en) * 1983-10-14 1986-11-11 Corning Glass Works Zirconia pen balls
FR2591138B1 (fr) 1985-12-10 1988-04-08 Recif Sa Procede de fabrication d'embouts pour pipettes a vide notamment et les embouts obtenus par la mise en oeuvre du procede.
JPH0719150Y2 (ja) 1986-10-31 1995-05-01 日本真空技術株式会社 ウェハ搬送ハンド
KR0155545B1 (ko) * 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
JPH0666381B2 (ja) * 1989-05-18 1994-08-24 株式会社エンヤシステム ウエハチヤツク方法及び装置
US5445486A (en) 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3151118B2 (ja) * 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
US6193506B1 (en) * 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5788458A (en) 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5605574A (en) * 1995-09-20 1997-02-25 Kabushiki Kaisha Toshiba Semiconductor wafer support apparatus and method
JP3328763B2 (ja) * 1995-10-30 2002-09-30 エヌティティエレクトロニクス株式会社 縦型ウエハボートのウエハ支持構造
JP3005461B2 (ja) 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
JPH09283605A (ja) * 1996-04-09 1997-10-31 Canon Inc 基板の吸着保持装置およびその製造方法
US5788304A (en) 1996-05-17 1998-08-04 Micron Technology, Inc. Wafer carrier having both a rigid structure and resistance to corrosive environments
US6091498A (en) 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
JP2887112B2 (ja) * 1996-08-23 1999-04-26 芝浦メカトロニクス株式会社 スピン処理装置
US5836575A (en) 1996-10-30 1998-11-17 Micron Electronics, Inc. Wafer manual handpick station
US5984391A (en) 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
KR100284567B1 (ko) * 1997-04-15 2001-04-02 후지이 아키히로 수직 웨이퍼 보트
US6257827B1 (en) 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6077026A (en) 1998-03-30 2000-06-20 Progressive System Technologies, Inc. Programmable substrate support for a substrate positioning system
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
US6085670A (en) 1998-05-05 2000-07-11 Genmark Automation, Inc. Tiltable Z-axis platform based on uni-directional tilt platform
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
JP3333135B2 (ja) * 1998-06-25 2002-10-07 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP2000091406A (ja) * 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
US6143147A (en) 1998-10-30 2000-11-07 Tokyo Electron Limited Wafer holding assembly and wafer processing apparatus having said assembly
JP2000150402A (ja) * 1998-11-09 2000-05-30 Shin Etsu Handotai Co Ltd 基板支持治具
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
KR100309920B1 (ko) 1998-12-16 2002-10-25 삼성전자 주식회사 기판의언로딩장치및언로딩방법
US6187134B1 (en) * 1999-07-09 2001-02-13 The Board Of Trustees Of The Leland Stanford Junior University Reusable wafer support for semiconductor processing
US6322116B1 (en) 1999-07-23 2001-11-27 Asm America, Inc. Non-contact end effector
US6452195B1 (en) * 1999-08-18 2002-09-17 Ibis Technology Corporation Wafer holding pin
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6528767B2 (en) 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US6634686B2 (en) 2001-10-03 2003-10-21 Applied Materials, Inc. End effector assembly
US20030072639A1 (en) 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support

Also Published As

Publication number Publication date
US6924462B2 (en) 2005-08-02
KR20040007594A (ko) 2004-01-24
US20020175160A1 (en) 2002-11-28
DE60220787D1 (de) 2007-08-02
EP1390968B1 (de) 2007-06-20
US6528767B2 (en) 2003-03-04
CN1276466C (zh) 2006-09-20
JP2005509275A (ja) 2005-04-07
US20030164362A1 (en) 2003-09-04
KR100570559B1 (ko) 2006-04-13
EP1390968A1 (de) 2004-02-25
TW591685B (en) 2004-06-11
CN1518757A (zh) 2004-08-04
WO2002095808A1 (en) 2002-11-28

Similar Documents

Publication Publication Date Title
DE60220787T2 (de) Glatter mehrteiliger substratträger für cvd
DE69629297T2 (de) Verfahren und vorrichtung zur thermischen konditionierung von substraten mit passivem gas
DE112010004736B4 (de) Aufnahmefür cvd und verfahren zur herstellung eines films unterverwendung derselben
DE69934022T2 (de) Apparat und methode, substrate zu kühlen
DE19649508B4 (de) Halter für Halbleiterplatten
DE69830310T2 (de) Multifunktionaler verfahrensraum für cvd-verfahren
DE69530801T2 (de) Montageelement und methode zum klemmen eines flachen, dünnen und leitfähigen werkstückes
DE3317967C2 (de) Vorrichtung zum Erzielen von Wärmeübergang zwischen einem Halbleiterplättchen und einer Aufspannplatte
DE60123813T2 (de) Sperrschicht für glasartige werkstoffe
DE3047441C2 (de)
DE1619956B2 (de) Vorrichtung zum abscheiden von halbleiterkristallen auf einer halbleiterkristallunterlage
DE60131511T2 (de) Halbleiterverarbeitungsmodul und Vorrichtung
DE112014001586B4 (de) Vorrichtung zur Bearbeitung von zwei oder mehreren Substraten in einem Batch-Prozess
DE10392595T5 (de) Verfahren und System zum Erwärmen von Halbleitersubstraten in einer Behandlungskammer, welche eine Aufnahme enthält
DE112012001864T5 (de) Halbleitersubstratbearbeitungssystem
DE4013143A1 (de) Selektives (cvd)-verfahren und geraet dafuer
WO2015113641A1 (de) Verfahren und vorrichtung zum bonden von substraten
DE112017001577T5 (de) Suszeptorträger
DE102010026987A1 (de) Herstellvorrichtung und -verfahren für Halbleiterbauelement
DE10056257C2 (de) Substrathalter-Tisch und Verfahren für die Sputter-Reinigung eines Substrats
EP1127176B1 (de) Vorrichtung zum herstellen und bearbeiten von halbleitersubstraten
DE602004012180T2 (de) Vorrichtung zur thermischen Behandlung von Halbleitern
WO2021209578A1 (de) Cvd-verfahren und cvd-reaktor mit austauschbaren mit dem substrat wärme austauschenden körpern
DE1619956C (de) Vorrichtung zum Abscheiden von Halb leiterknstallen auf einer Halbleiterkristall unterlage
DE102022204964A1 (de) Cvd-system mit flanschanordnung zur erleichterung einer gleichmässigen und laminaren strömung

Legal Events

Date Code Title Description
8364 No opposition during term of opposition