KR20040007594A - Cvd용의 평탄한 다수부품의 기판 지지 부재 - Google Patents

Cvd용의 평탄한 다수부품의 기판 지지 부재 Download PDF

Info

Publication number
KR20040007594A
KR20040007594A KR10-2003-7015225A KR20037015225A KR20040007594A KR 20040007594 A KR20040007594 A KR 20040007594A KR 20037015225 A KR20037015225 A KR 20037015225A KR 20040007594 A KR20040007594 A KR 20040007594A
Authority
KR
South Korea
Prior art keywords
support
glass substrate
support member
upper portion
shelf
Prior art date
Application number
KR10-2003-7015225A
Other languages
English (en)
Other versions
KR100570559B1 (ko
Inventor
윌리암 에이. 배글리
에리카 엠. 라미레즈
스티븐 씨. 울가스트
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20040007594A publication Critical patent/KR20040007594A/ko
Application granted granted Critical
Publication of KR100570559B1 publication Critical patent/KR100570559B1/ko

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/6875Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a plurality of individual support members, e.g. support posts or protrusions
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C17/00Surface treatment of glass, not in the form of fibres or filaments, by coating
    • C03C17/001General methods for coating; Devices therefor
    • C03C17/002General methods for coating; Devices therefor for flat glass, e.g. float glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4581Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber characterised by material of construction or surface finish of the means for supporting the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68778Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting substrates others than wafers, e.g. chips

Abstract

유리 기판을 지지하는 장치가 제공된다. 일 실시예에서, 기판 지지체(50)는 베이스 구조 부재(52)와 기판 지지체와 그위에서 지지되는 유리 기판 사이의 마찰 및/또는 화학 작용을 최소화시키기 위해, 그위에 표면을 갖는 상부 부분(54)을 포함한다. 기판 지지체는 로드록 챔버 및 열공정을 수해아는 챔버와 같은 다양한 챔버에 이용될 수 있다.

Description

CVD용의 평탄한 다수부품의 기판 지지 부재{SMOOTH MULTIPART SUBSTRATE SUPPORT MEMBER FOR CVD}
지금까지 박막 트랜지스터는 모니터, 플랫 패널 디스플레이, 태양 전지, 개인 휴대 정보 단말기(PDA), 휴대 전화 등에 사용되는 대형 글라스 기판 또는 플레이트상에 제조되었다. 트랜지스터는 비정질 실리콘, 도핑 및 비도핑 실리콘 산화물, 실리콘 질화물 등을 포함하는 다양한 막들을 진공 챔버에서 순차적으로 증착함으로써 제조되었다. 트랜지스터용 박막은 예를 들어 화학적 기상 증착(CVD)에 의해 증착될 수 있다. 증착 이후, 트랜지스터 제조에 사용되는 다수의 막들은 열처리 된다.
CVD는 기판이 300℃ 내지 400℃ 범위의 온도에 내성이 있을 것을 요구하는 비교적 고온 공정이다. 500℃ 이상의 이러한 고온 공정이 요구된다. CVD 막 처리공정은 유리 기판 상에 집적 회로의 제조시에 광범위하게 사용된다. 그러나, 유리는 매우 깨지기 쉽고 고온에서 고속 가열되는 경우 휘거나 부서지게 되는 유전체재료이기 때문에, 열 스트레스 및 그로 인한 손상을 방지하기 위해 기판의 상당부 가열 속도를 조절해야 한다.
일반적으로 시스템은 처리공정 이전에 유기 기판을 예비가열하고 열처리 동작을 처리한 이후 처리된다. 종래의 가열 챔버는 하나 또는 다수의 유리 기판을 가열하기 위해 하나 이상의 가열 선반을 포함한다. 일반적으로 유리는 열 균일성 및 생산성 증가를 위해 스페이서 상의 선반 위에서 지지된다. 비용을 최소화시키기 위해, 종래의 스페이서는 예를 들어 스테인레스 스틸, 알루미늄, 알루미늄 질화물 등과 같이 쉽게 가공되는 금속으로 형성된다. 그러나, 종래의 스페이서는 쉽게 손상되거나 유리 표면을 손상시키는 경향이 있어, 유리 표면내에 또는 유리 표면 상에 결함을 야기시킨다. 추후, 클래빙(cleaving) 공정 동안, 유리 표면 내의 또는 유리 표면 상의 상기 결함은 디바이스 낭비 또는 기판의 파손을 발생시키는 비정상적인 클래빙 동작을 야기시킨다.
일부 경우에 있어서, 유리와 접촉되는 스페이서 부분은 유리와 반응하고 일시적으로 결합되는 것으로 여겨진다. 이러한 결합이 추후 깨지게 되는 경우, 스페이서 상에는 초기 반응의 잔류물이 남게되고, 처리되는 기판을 잠재적으로 손상시킨다. 또한, 이러한 잔류물은 추후 처리되는 기판에 위험을 주거나 또는 열처리 챔버내에서 오염원이 될 수 있다. 또한, 초기 잔류물은 스페이서와 유리 사이에 부가적 화학적 반응을 일으키거나 또는 스페이서 지지체 또는 스페이서 수명을 악화시킬 수 있다.
따라서, 유리 손상을 감소 또는 제거하는 고온 유리-패널 공정을 위한 유리지지체가 요구된다.
본 발명은 대면적 글라스 기판을 위한 지지 부재에 관한 것이다. 특히, 본 발명은 고온 프로세스 동안 대면적 글라스 기판을 지지하기 위한 지지 부재에 관한 것이다.
도 1은 본 발명의 일면에 따라 내부에 지지 부재가 배치된 가열 챔버의 일실시예를 나타내는 단면도,
도 2는 본 발명의 일면에 따른 지지 부재를 나타내는 단면도,
도 3은 다수의 지지 부재를 갖는 선반의 일실시예를 나타내는 평면도,
도 4는 다수의 지지 부재를 갖는 지지 엘리먼트의 로드록 챔버의 일 실시예를 나타내는 단면도.
유리 기판을 지지하는 장치가 제공된다. 일 실시예에서, 베이스부 및 상부에 표면을 갖는 상부 부분을 갖는 기판 지지체를 제공하여 기판 지지체 및 그위에서 지지되는 기판 사이의 마찰 및/또는 화학 반응을 최소화시킨다.
또다른 실시예에서, 기판을 지지하는 장치는 지지 부재 및 다수의 지지 부재들을 포함한다. 일반적으로 적어도 하나의 지지 부재는 지지 엘리먼트의 제 1 측면에 접속된 베이스 구조 부재 및 지지 엘리먼트의 제 1 측면에 대해 떨어져 있는 유리 기판을 지지하는 둥근 상부 부분을 포함한다.
또다른 실시예에서, 기판을 지지하는 장치는 선반(shelf) 및 다수의 지지 부재들을 포함한다. 적어도 하나의 지지 부재는 선반의 제 1 측면에 접속된 베이스 구조 부재 및 선반의 제 1 측면에 대해 떨여져 있는 유리 기판을 지지하는 둥근 상부 부분을 포함한다. 코팅은 적어도 상부 부분의 팁상에 배치된다.
또다른 실시예에서, 기판을 지지하는 장치는 챔버 및 챔버에서 떨어져 있는 다수의 선반들을 포함한다. 각각의 선반은 그 상부에 배치된 다수의 지지 부재를 포함한다. 적어도 하나의 지지 부재는 선반의 제 1 측면에 접속된 베이스 구조 부재 및 선반의 제 1 측면에 대해 떨어져 있는 유리 기판을 지지하는 둥근 상부 부분을 포함한다.
또다른 실시예에서, 유리 기판을 지지하는 장치는 챔버 바디와 챔버 바디의 측벽에 접속된 다수의 지지 엘리먼트를 포함한다. 챔버 바디는 각각 제 1 및 제 2슬릿 밸브에 의해 밀봉되는 제 1 유리 전송 포트 및 제 2 유리 전송 포트를 포함한다. 다수의 지지 부재는 지지 엘리먼트 상에 배치된다. 적어도 하나의 지지 부재는 지지 엘리먼트에 접속된 베이스 구조 부재 및 둥근 상부 부분을 포함한다. 둥근 상부 부분은 지지 엘리먼트에 대해 떨어져 있는 유리 기판을 지지한다.
상기 설명된 특징, 장점 및 본 발명의 목적은 본 발명의 상세한 설명 및 첨부되는 도면을 나타내는 실시예를 참조로 이해할 수 있을 것이다.
그러나, 주목해야 할 것은 첨부된 도면은 단지 본 발명의 전형적인 실시예를 설명하기 위한 것으로 발명의 범주내에서 제한되지 않는다는 것이다.
본 발명은 마찰, 화학적 반응 또는 마찰과 화학적 반응의 조합에 의해 유도되는 유리 기판 손상을 바람직하게 감소시키는데 적합한 유리 기판용 지지 부재에 관한 것이다.
도 1은 예시적 가열 챔버(10)내에 배치된 본 발명의 지지 부재의 실시예를나타낸다. 종래의 가열 챔버(10)는 측벽(12, 14), 하부벽(16) 및 리드(18)를 포함한다. 도 1에 도시되지 않은 또다른 측벽(13, 15)은 가열 챔버(10)의 구조를 완성하는 측벽(12, 14)과 수직이다. (도시되지 않은)처리 시스템에 인접한 측벽(13)에는 처리 시스템으로부터 가열 챔버(10) 안팎으로 유리 플레이트를 이송시킬 수 있는 슬릿 밸브(도시되지 않음)가 설치된다.
측벽(12, 14)에는 챔버(10)의 온도를 제어하기 위해 적절한 가열 코일(20)이 설치된다. 가열 코일은 저항성 헤더 또는 열전달 가스 또는 액체를 순환시키는 도관일 수 있다. 하부벽(16)에는 각각 온도 제어 유체의 순환 및/또는 전력원(도시되지 않음)에 접속되는 가열 코일(20)을 위한 와이어를 포함하는 채널(27)에 대해 입력 및 출력 파이브(24, 26)가 설치된다. 선택적으로, 동일한 채널(24, 26)이 채널(22)내에서 열전송 매체를 순환시키고 가열 코일(20)을 밀폐시키기 위해 사용될 수 있다. 측벽(12, 14)의 내부에는 열전도성 선반(28)과 같은 다수의 지지 엘리먼트가 설치된다. 선반(28)은 선반(28)의 온도를 신속 및 균일하게 제어하도록 벽(12, 14)과의 양호한 열 접촉을 제공한다. 선반(28)에 사용될 수 있는 재료의 예로는, 제한적이지 않고, 알루미늄, 구리, 스테인레스 스틸, 클래드 구리등을 포하한다.
하나 이상의 외부 지지 부재(30)가 유리 기판(32)의 주변부를 지지하기 위해 선반(28)상에 적절히 배열되고 하나 이상의 지지 부재(50)가 본 발명의 실시예에 따라, 유리 기판(32)의 중심부를 지지하도록 선반(28)상에 배치된다. 도 3에서 도시되는 실시예에서는 3개의 지지 부재(30)가 기판(32)의 주변부를 지지하도록선반(28)의 맞은편 측면(12, 14)상에 배치되나, 유리 기판(32)의 중심부를 지지하기 위해서는 지지 부재(30) 안쪽에 2개의 지지 부재(50)가 배열된다.
다시 도 1을 참조로, 지지 부재(30, 50)는 선반(28)과 유리 기판(32) 사이에 갭이 존재하도록, 처리되는 유리 기판(32)을 지지하는 역할을 한다. 이러하 갭은 유리 기판(32)에 스트레스를 가하고 깨지게 하거나 또는 오염물이 선반(28)으로부터 유리 기판(32)으로 전송되는 결과를 발생시키는 유리 기판(32)과 선반(28)의 직접적 접촉을 방지하는 역할을 한다. 유리 기판(32)은 유리 기판(32)과 선반(28) 사이의 직접적인 접촉에 의해서라기 보다는 방사 및 가스 전도에 의해 비간접적으로 가열된다.
또한, 유리 기판(32)과 선반(28)의 인터리빙(interleaving)은 유리 기판(32)을 상하로 가열하여, 유리 기판(32)의 보다 신속하고 균일한 가열을 제공한다.
도 2는 발명의 일면에 따른 내부 지지 부재(50)의 일 실시예를 나타내는 단면도이다. 내부 지지 부재(50)는 전체적으로 실린더 형상의 단면을 갖는 베이스 구조 부재(52)와 둥근 상부 부분(54)을 갖는다. 내부 지지 부재(50)에 의해 지지되는 유리 기판은 둥근 상부 부분(54)의 부근 영역 또는 인접한 곳에서 지지된다. 베이스 구조 부재(52)는 장착 핀(58)을 수용하도록 형성된 중공의 중심부(56)를 포함하여, 가열 챔버(10) 내부에 예시적인 선반(28)상에서 내부 지지 부재(50)를 지지한다. 선반(28) 상에 직접 내부 기판 지지체(50)를 장착하는 대신 장착 핀(58) 사용의 장점은 상이한 재료의 선택 및 내부 지지 부재(50)와 선반(28)에 대한 재료 선택 기준은 상이할 수 있으며 마찬가지로 선택된 재료에 대해 상이한 열팽창 계수를 수반하는 문제 및 열팽창 계수의 관련된 부정합 문제를 해결할 수 있다는 것이다. 핀(58)을 사용함으로써, 내부 지지 부재(50)는 확장되거나 인접한 선반(28)의 팽창 및 수축과 별개로 접촉될 수 있다.
베이스 구조 부재(52)의 상부 부분(54)은 둥글고 평탄한 외부 표면을 갖는다. 일 실시예에서, 상부 부분(54)은 반구형, 원뿔형, 타원형 또는 포물선 단부를 포함한다. 상부 부분(54)은 기계가공 또는 연마된 피니시(fihish) 또는 적절한 평탄도의 다른 적절한 피니시일 수 있다. 바람직한 실시예에서, 상부 부분(54)은 R4 피니시 평탄도 이상인 피니시를 갖으며, 이는 표면이 4 마이크로 인치 이하의 조도(roughness)로 연마된다는 것을 의미한다. 또다른 바람직한 실시예에서, 내부 기판 지지체(50)의 단면 형상은 상부 부분(54)에서 전체 반경을 갖는 실린더이다.
베이스 구조 부재(52)의 재료는 열처리 동안 유리를 지지하기에 적합한 형상으로 가공된다. 일 실시예에서, 베이스 구조 부재(52)의 섹션 형상은 일반적으로 둥근 상부를 갖는 실린더형이다. 바람직한 실시예에서, 유리 기판을 지지하는데 사용되는 상부 부분은 둥글고 평탄한 외부 표면을 갖는다. 베이스 구조 부재(52)를 형성하는데 사용되는 재료는 용이한 가공을 위해 선택되고 일부 실시예에서는 저가로 선택된다. 일 실시예에서, 베이스 구조 부재(52)는 스테인레스 스틸로 형성되거나 또는 낮은 탄소 함량의 스테인레스 스틸로 형성된다. 또다른 실시예에서, 베이스 부재 구조(52)는 인코넬 또는 다른 니켈 합금으로 형성된다.
본 발명의 실시예는 금속 또는 금속 합금으로 형성된 베이스 구조 부재(52)를 갖고 코팅층(60)을 포함하는 유리 지지 부재로서 설명되나, 다른 재료로는 코팅층(60)이 요구되지 않는 베이스 구조 부재(52)를 사용할 수도 있다. 베이스 구조 부재(52)는 본 발명의 특징을 감소시키는 마찰 및 특징을 제공하는 화학 반응을 제공하는 재료로 형성될 수 있다. 예를 들어, 베이스 구조 부재(52)는 석영 또는 사파이어 또는 본 발명의 장점을 제공하는 다른 적절한 비금속 재료일 수 있다. 일부 경우에 있어, 이들 선택적인 재료는 코팅층(60)없이 사용될 수 있다.
일반적으로 코팅층(60)은 적어도 상부 부분(54)의 팁(90) 위에 증착된다. 선택적으로, 코팅층(60)은 상부 부분(54)의 임의의 부분 및/또는 베이스 구조 부재(52) 상에 증착될 수 있다. 일 실시예에서, 본 발명의 코팅층(60)은 베이스 구조 부재(52) 및 유리 기판(32) 사이의 접촉을 방지하는 배리어층으로서 기능하도록 충분한 두께를 갖는다. 또한, 베이스 구조 부재(50)와 내부 기판 지지체 사이의 오염물 반응이 거의 방지된다. 이와 관련하여, 오염물은 베이스 구조 부재(52) 내에 존재하는 트레이스 물질을 포함하는, 임의의 광범위한 다양한 물질일 수 있다. 예를 들어, 크롬은 베이스 구조 부재(32)로서 사용하기에 적합한 다양한 등급의 스테인레스 스틸에 존재한다. 본 발명의 표면 코팅(60) 배리어층 실시예는 베이스 구조 부재(32)에 존재하는 크롬과 유리 기판(32) 사이의 반응을 감소 또는 소거할 수 있을 것으로 여겨진다. 코팅층(60)이 베이스 재료(52)와 유리 기판(32) 사이의 반응을 감소 또는 소거시키는 실시예에서, 상부 부분(54)은 유리(32)가 지지되는 평탄 중심부를 갖고/갖거나 둥글 수 있다. 평탄 중심부는 기판(32)의 로딩 및 가열동안 잠재적인(potential) 스크래칭을 감소시키기 위해 챔퍼(chamfer) 또는 반경에 의해 둘러싸일 수 있다.
베이스 재료(52)와 유리 기판(32) 사이의 반응을 감소 또는 소거할 수 있는 코팅층(60)의 실시예는 CVD 질화 공정 및 PVD 스퍼터링 공정을 포함한다. 예를 들어, 상기 설명된 형상을 갖는 베이스 구조 부재(52)는 반응챔버에 위치되고 암모니아, 및/또는 질소, 및/또는 수소, 및/또는 다른 환원 가스를 포함하는 분위기에 노출되어 베이스 구조 부재(52)의 노출된 표면상에 질화층을 형성할 수 있다. 이러한 공정 결과로서, CVD 질화물 코팅층(60)이 베이스 구조 부재(52)의 상부(54)에 형성된다.
질화물층이 베이스 구조 부재(52)와 유리 기판(32) 사이의 반응을 감소 또는 방지하도록 충분히 두꺼워질 때까지 상기 설명된 CVD 공정 또는 베이스 구조 부재(52)의 노출된 표면 상에 질화물 표면을 형성하는 다른 적합한 공정이 계속된다. 일 실시예에서, 코팅층(60)은 적어도 약 3 미크론 두께로 CVD에 의해 형성된다. 본 실시예에서, 코팅층(60)은 약 3 미크론 내지 약 20 미크론 사이의 두께로 CVD에 의해 형성된다.
선택적인 실시예에서, 베이스 재료(52)와 유리 기판(32) 사이의 반응을 감소 또는 제거할 수 있는 코팅층(60)이 베이스 구조 부재(52)의 적어도 상부 부분(54)에 스퍼터된다. 일 실시예에서, 코팅층(60)은 베이스 구조 부재(52)의 외부 표면 상에 질화 표면을 형성하기에 적합한 물리적 기상 증착(PVD) 공정에 의해 형성된다. 바람직한 실시예에서, 코팅층(60)은 티타늄 질화물을 포함하며, 물리적 기상 증착과 같은 스퍼터링 방법에 의해 형성된다. 또다른 실시예에서, 코팅층(60)은 물리적 기상 증착에 의해 형성되며 베이스 구조 부재(52)와 유리 기판(32) 사이의화학적 반응을 감소 또는 제거하도록 충분한 두께를 갖는다. 또다른 실시예에서, 코팅층(60)은 물리적 기상 증착법에 의해 형성되며 적어도 약 3 미크론 두께이다. 또다른 실시예에서, PVD 코팅층은 약 3 미크론 매지 약 20 미크론 사이의 두께를 갖는다. 또다른 실시예에서, 코팅층은 스퍼터링 또는 다른 물리적 기상 증착 공정에 의해 형성된다.
선택적인 실시예에서, 코팅층(60)은 구조 부재(52) 및 유리 기판(32) 사이의 마찰 감소층으로서 동작한다. 이와 관련하여, 마찰 감소는 유리 기판(32)과 내부 지지 부재(50) 사이의 마찰, 진동 또는 다른 접촉에 의해 야기되는 유리 기판(32)의 손상을 감소 또는 제거하는 것으로 간주된다. 본 발명의 마찰 감소 표면 코팅층(60)의 실시예는 베이스 구조 부재(52)의 모든 형상을 보호하도록 컨포멀한 막으로 간주된다. 마찰 감소 코팅층(60)의 바람직한 실시예에서, 코팅층(60)은 하부에 놓인 베이스 구조 부재(52)의 평탄한 연마 피니시를 유지하며 컨포멀하다.
유리 기판(32)의 손상 유도 마찰을 감소시킬 수 있는 코팅층(60)의 실시예는 CVD 질화 공정 및 PVD 스퍼터링 공정을 포함한다. 예를 들어, 상기 설명된 형상의 베이스 구조 부재(52)는 반응 챔버에 위치되며 암모니아, 및/또는 질소, 및/또는 수소, 및/또는 베이스 구조 부재(52)의 노출된 표면 상에 질화물층을 형성하는 다른 환원 가스를 포함하는 분위기에 노출된다. 이러한 공정 결과로, 컨포멀한 CVD 질화물 표면 코팅층(60)은 베이스 구조 부재(52)의 상부 부분 상에 형성된다. 내부 지지 부재(50) 및 유리 기판(32) 사이의 마찰 손상을 감소시키도록 충분히 두껍고 컨포멀해질 때까지 상기 설명된 CVD 공정 또는 다른 적합한 공정이 계속된다.
선택적인 실시예에서, 마찰 감소 코팅층(60)은 적어도 약 3 미크론 두께로 CVD에 의해 형성된다. 또다른 실시예에서, 마찰 감소 코팅칭(60)은 약 3 미크론 내지 약 30 미크론 두께로 CVD에 의해 형성된다.
선택적인 실시예에서, 내부 지지 부재(50)와 유리 기판(32) 사이의 마찰 손상을 감소시킬 수 있는 코팅층(60)은 베이스 구조 부재(52)의 외부 표면상에 스퍼터된다. 일 실시예에서, 마찰 감소 코팅층(60)은 베이스 구조 부재(52)의 적어도 상부 부분(54) 상에 질화 표면을 형성하도록 적절한 물리적 기상 증착(PVD) 공정에 의해 형성된다. 바람직한 실시예에서, 마찰 감소 코팅층(60)은 티타늄 질화물을 포함하며 스퍼터링 방법 또는 물리적 기상 증착에 의해 형성된다. 또다른 실시예에서, 마찰 감소 코팅층(60)은 물리적 기상 증착에 의해 형성되며 내부 지지 부재(50)에 의해 유리 기판(32)의 마찰 손상을 감소시키기에 충분한 두께를 갖는 베이스 구조 부재(52)의 형상 및 피니시로 컨포멀하다.
본 발명의 마찰 코팅층(60)의 또다른 실시예에서, 코팅층(60)은 내부지지 부재(50)의 형상으로 컨포멀하며 물리적 기상 증착에 의해 형성된다. 코팅층(60)은 베이스 구조 부재(52) 및 상부 부분(54)의 연마된 피니시에 따라 컨포멀하다. 일반적으로 코팅층(60)은 적어도 약 3 미크론의 두께를 갖는다. 또다른 실시예에서, PVD 코팅층은 약 3 미크론 내지 약 20 미크론 사이의 두께로 컨포멀하다. 또다른 실시예에서, 코팅층(60)은 스퍼터링 또는 다른 물리적 기상 증착 공정에 의해 형성된 컨포멀한 티타늄 질화물층이다.
형성 방법과 상관없이, 표면 코팅층(60)은 베이스 구조 부재(52)에 평탄한외부 표면을 제공한다. 표면 코팅층(60)의 상기 설명된 실시예는 적어도 베이스 구조 부재(52)의 오리지널 피니시와 같은 평탄한 표면을 유지한다. 선택적으로, 코팅층(60)은 피니시를 갖도록 처리된다. 본 발명에 따라 형성되고 상기 설명된 표면 코팅층(60)을 갖는 내부 지지 부재(50)는 내부 지지 부재(50) 상에서 지지되는 유리 기판(32) 사이의 마찰을 감소시키며, 일부 실시에에서는, 금속 사이의 화학적 반응 또는 베이스 구조 부재(52) 및/또는 그위에 배치된 유리(32)내의 다른 오염물을 감소시킨다.
본 발명에 따라 제조된 내부 지지 부재(50)는 250℃ 이상에서 수행되는 열처리 동작에 적합하다. 또한, 저온 폴리 실리콘의 제조시에 사용되는 열처리 공정과 같은 다른 열처리 동작이 본 발명의 내부 지지 부재(50)를 사용하여 수행된다. 본 발명에 따르 제조된 유리 지지 부재는적용 및 유리 재료 특성에 따라 약 450℃ 이상 및 600℃를 포함하는 온도에서 수행된 열처리 동작에 적합하다. 상기 설명된 표면 코팅층(60)은 베이스 구조 부재(52) 및 지지되는 유리 기판 사이의 마찰 손상과 같은 것을 모두 감소시키면서 베이스 구조 부재(52)와 유리 기판(32)내에서 오염물 또는 금속 사이의 반응을 방지하는 보호층을 제공한다.
내부 지지 부재(50)의 실시예가 장치 수율에 악영향을 미치는 비정상적인 클래빙(claving) 동작 또는 액티브 영역 손상을 야기시킬 수 있는 손상을 가소시키기 위해 중심 지지체로서 상기 설명되고 도시되었다. 상기 설명된 실시예는 중심 지지체로서 내부 지지 부재(50)를 나타내나 종래의 외부 지지 부재(30)는 유리 패널(32)의 주변부를 지지하는데 사용될 수 있다. 외부 지지 부재(30)는 특히 고온 유리 공정 시퀀스에 대해 내부 외부 지지 부재(50)와 바람직하게 유사하게 구성된다. 결과적으로, 내부 지지 부재(50)로서 구성된 유리 지지 부재(30, 50)의 사용이 도 2에 참조로 개시되며, 유리 기판(32)에 대한 손상은 감소되거나 소거되어 주어진 유리 기판의 수율을 증가시킨다.
지지 부재(30, 50)를 특정 재료 및 불순물과 관련하여 설명하였지만, 다른 열처리 공정이 다른 상이한 재료로 제조된 베이스 구조 지지체(52)를 필요로하여, 상기 개시된 것처럼 다른 상이한 불순물에 배리어층으로서 작용하는 선택적인 코팅층(60)을 요구할 수도 있다.
본 발명은 유리 기판을 사용하는 것에 대해 설명하였지만, 본 발명의 지지 부재(30, 50)는 지지 부재(30, 50) 및 상이한 기판 재료 사이의 마찰 손상 및 화학 반응을 방지하는 다른 실시예에도 사용될 수 있다. 예를 들어, 코팅층(60)은 예를 들어 플라스틱 기판과 같은 선택적인 기판 형태 속으로 베이스 재료(52)의 불순물 확산을 방지하도록 선택될 수 있다. 본 발명은 상기 설명된 열처리 시스템(10)에 사용되는 것으로 개시되었지만, 다른 열처리 시스템 및 챔버가 사용될 수 있다. 에를 들어, 저항성 히터가 선반(26)에 직접 부가되어 그안에서 처리되는 유리 기판의 온도 제어 및 가열을 제공한다. 본 발명의 방법 및 장치는 본 발명의 실시예가 사용되는 적용되는 가열 챔버의 형태와 무관하게 상관없이 적용될 수 있다.
중공 중심부(56) 및 장착 핀(58)의 설계가 열팽창 부정합을 조절하는데 바람직한 분야에 대해 상기 설명되었지만, 지지 부재(30, 50)는 다른 수단을 사용하여 선반(28)에 부착될 수 있다. 예를 들어, 콜드 프레싱과 같은 다른 기계적 부착 형태가 선반(28)에 유리 지지 부재(30)에 부착되도록 사용될 수 있다. 가열 선반(28)에 유리 지지 부재(30, 50)를 부착 또는 고정시키는 방법이 사용될 수 있다.
상기 설명된 코팅층(60)은 상부 부분(54)에 도시되고 베이스 구조 부재(52)의 일부만을 커버하는 것이지만, 다른 등급의 코팅이 사용될 수도 있다. 예를 들어, 코팅층(60)은 베이스 구조 부재(52)의 노출된 모든 부분을 커버하거나 또는 상부 부분(54)만을 커버하는데 사용될 수 있다. 일부 실시예에서, 코팅층(60)은 선반(28)과 접촉하는 베이스 구조 부재(52)의 모든 표면을 커버할 수 있다. 바람직한 실시예에서, 베이스 구조 부재(52)에 적용되는 코팅층(60)의 양은 본 발명의 화학적 및/마찰 감소 장점을 제공하도록 최적화된다.
도 4는 다수의 지지 부재(30) 및 그 내부에 배치된 적어도 하나의 지지 부재(50)를 갖는 로드록 챔버(400)의 일 실시예를 나타내는 단면도이다. 일반적으로 로드록 챔버(400)는 제 1 유리 이송 포트(404) 및 그안에 배치된 제 2 유리 이송 포트(406)를 갖는 챔버 바디(402)를 포함한다. 일반적으로, 각각의 포트(404, 406)는 슬릿 밸브(408)에 의해 선택적으로 밀봉된다. 일반적으로, 로드록 챔버(400)는 제 1 및 제 2 포트(404, 406)에 각각 배치된 챔버(도시되지 않음)에 함유된 제 1 및 진공 분위기 사이에 배치되며 진공 손실 없이 진공 분위기 안팍으로 유리(32) 이송을 허용하도록 이용된다. 챔버 바디(402)는 부가적으로 챔버 바디내의 압력을 제어하는 펌핑 포트(410)를 포함한다. 선택적으로, 챔버 바디(402)는 챔버 바디(402)가 진공 조건하에 있는 챔버 바디(402)내의 압력을 상승시키기위한 벤트(402)를 포함한다. 전형적으로, 벤트(412)를 통해 챔버(400)에 진입하는 공기 또는 유체는 필터(414)를 통과하여 챔버(400)로 진입하는 입자를 최소화시킨다. 이러한 필터는 뉴저지 리버데일의 Camfil-USA, Inc.로부터 시판되고 있다.
일반적으로 다수의 지지 부재(416)가 챔버 바디(402)내에 배치되며, 이들 각각은 적어도 하나의 지지 부재(30 및/또는 50)를 지지한다. 일반적으로 각각의 지지 부재(416)는 챔버(400)의 적어도 하나의 벽(418)에 접속된다. 도 4에 도시된 실시예에서, 지지 부재(416)는 벽(418)에 캔티레버 접속되는 제 1 그룹의 지지 부재(420) 및 벽(418)과 맞은편 벽(도시되지 않음) 사이에 접속되는 제 2 그룹의 지지 부재(422)를 포함한다. 일반적으로 제 1 그룹의 지지 부재(420)는 그위에 유리(32) 주변부를 지지하는 지지 부재(30)를 갖는 반면, 제 2 그룹 지지 부재(422)는 유리(32)의 중심부를 지지한다. 선택적으로, 지지 부재는 다른 측벽, 하부 또는 이들의 조합과 같이 챔버 바디(402)의 다른 부분에 접속될 수 있다. 선택적으로, 지지 부재(30)의 일부 또는 전체는 측벽 사이로 연장되는 지지 부재(416) 상에 배치되는 반면, 지지 부재(50)의 일부 또는 전체는 단지 챔버 바디의 일부분에만 접속되는(즉, 캔티레버 접속되는) 지지 부재(416)상에 배치된다. 또한, 하나 이상의 지지 부재(30)는 지지 부재(50)와 유사 또는 동일한 구성일 수 있다. 다른 로드록은 도 4에 도시된 지지 부재(416)와 평행하고 상기 평면에 배치되는 지지 부재의 제 2 세트 상의 챔버내에 적층된 다수의 기판을 처리하는 지지 부재(50)를 이용하여 구성될 수 있다.
지금까지 본 발명의 실시예를 설명하였으며, 이하 첨부된 청구항의 범주를이탈하지 않는 기본 범주 및 기본 사상내에서 본 발명의 다른 또는 추가적인 실시예가 구성될 수 있다.

Claims (42)

  1. 기판 지지 부재로서,
    베이스부 및 상부 부분을 갖는 바디; 및
    기판과 접촉되며 상부에서 기판을 지지하는 상기 상부 부분의 표면을 포함하며, 상기 표면은 그위에서 지지되는 기판과의 마찰을 최소화시키고 화학 반응을 감소시키도록 조절되는 기판 지지 부재.
  2. 유리 기판 장치로서,
    제 1 측면을 갖는 지지 엘리먼트; 및
    상기 지지 엘리먼트 상에 배치된 다수의 지지 부재를 포함하며, 적어도 하나의 상기 지지 부재는,
    상기 지지 엘리먼트의 제 1 측면에 접속된 베이스 구조 부재; 및
    상기 지지 엘리먼트의 제 1 측면에 대해 떨여져 있는 상기 유리 기판을 지지하는 둥근 상부 부분을 포함하는 유리 기판 지지 장치.
  3. 제 2 항에 있어서, 상기 둥근 부분은 4 마이크로 인치의 표면 조도 또는 평탄도를 갖는 유리 기판 지지 장치.
  4. 제 2 항에 있어서, 상기 둥근 부분은 반구형, 원뿔형, 타원형 또는 포물선단부를 더 포함하는 유리 기판 지지 장치.
  5. 제 2 항에 있어서, 상기 지지 엘리먼트의 제 1 측면에 접속된 다수의 장착 핀을 더 포함하며, 상기 각각의 핀은 각각의 지지 부재에 접속되는 유리 기판 지지 장치.
  6. 제 5 항에 있어서, 베이스 구조 부재는 중공형이며 상기 장착 핀의 적어도 일부를 수용하는 유리 기판 지지 장치.
  7. 제 2 항에 있어서, 상기 다수의 지지 부재는,
    상기 지지 엘리먼트의 주변부의 적어도 일부를 따라 배치된 제 1 세트의 지지 부재; 및
    상기 제 1 세트의 안쪽으로 배치된 적어도 하나의 지지 부재를 포함하는 적어도 제 2 세트의 지지 부재를 포함하는 유리 기판 지지 장치.
  8. 제 2 항에 있어서, 적어도 하나의 상기 지지 부재는 비금속성 물질을 포함하는 유리 기판 지지 장치.
  9. 제 8 항에 있어서, 적어도 하나의 상기 지지 부재는 석영 또는 사파이어를 포함하는 유리 기판 지지 장치.
  10. 제 2 항에 있어서, 적어도 하나의 상기 지지 부재는 스테인레스 스틸 또는 니켈 합금을 포함하는 유리 기판 지지 장치.
  11. 제 2 항에 있어서, 적어도 하나의 상기 지지 부재는 코팅을 더 포함하는 유리 기판 지지 장치.
  12. 제 11 항에 있어서, 상기 코팅은 질화물층인 유리 기판 지지 장치.
  13. 제 12 항에 있어서, 상기 둥근 상부 부분은 4 마이크 인치의 표면 조도 또는 평탄도를 갖는 유리 기판 지지 장치.
  14. 제 11 항에 있어서, 상기 코팅은 4 마이크로 인치의 표면 조도 또는 평탄도를 갖는 유리 기판 지지 장치.
  15. 제 2 항에 있어서, 적어도 하나의 상기 지지 부재는 선반인 유리 기판 지지 장치.
  16. 유리 기판 지지 장치로서,
    제 1 측면을 갖는 선반; 및
    상기 선반 상에 배치된 다수의 지지 부재를 포함하며, 적어도 하나의 상기 지지 부재는,
    상기 선반의 제 1 측면에 접속된 베이스 구조 부재;
    상기 선반의 제 1 측면에 대해 떨어져 있는 상기 유리 기판을 지지하는 상부 부분; 및
    적어도 상기 상부 부분의 팁상에 배치된 코팅을 포함하는 유리 기판 지지 장치.
  17. 제 16 항에 있어서, 상기 상부 부분은 4 마이크로 인치의 표면 조도 또는 평탄도를 갖는 유리 기판 지지 장치.
  18. 제 16 항에 있어서, 상기 상부 부분은 반구형, 원뿔형, 타원형 또는 포물선 단부를 더 포함하는 유리 기판 지지 장치.
  19. 제 16 항에 있어서, 상기 상부 부분은 평탄 중심부를 더 포함하는 유리 기판 지지 장치.
  20. 제 16 항에 있어서, 상기 선반의 제 1 측면에 접속되는 다수의 장착 핀을 더 포함하며, 상기 각각의 핀은 각각의 지지 부재와 접속되는 유리 기판 지지 장치.
  21. 제 20 항에 있어서, 상기 베이스 구조 부재는 중공형이며 상기 장착 핀의 적어도 일부를 수용하는 유리 기판 지지 장치.
  22. 제 16 항에 있어서, 상기 다수의 지지 부재는,
    상기 선반의 주변부의 적어도 일부를 따라 배치된 제 1 세트의 지지 부재; 및
    상기 제 1 세트의 안쪽에 배치된 적어도 하나의 지지 부재를 포함하는 적어도 제 2 세트의지지 부재를 더 포함하는 유리 기판 지지 장치.
  23. 제 16 항에 있어서, 적어도 하나의 상기 지지 부재는 비금속성 재료를 포함하는 유리 기판 지지 장치.
  24. 제 16 항에 있어서, 적어도 하나의 상기 지지 부재는 석영 또는 사파이어를 포함하는 유리 기판 지지 장치.
  25. 제 16 항에 있어서, 적어도 하나의 상기 지지 부재는 스테인레스 스틸 또는 니켈 합금을 포함하는 유리 기판 지지 장치.
  26. 제 16 항에 있어서, 상기 코팅은 질화물층인 유리 기판 지지 장치.
  27. 제 16 항에 있어서, 상기 코팅은 4 마이크로 인치의 표면 조도 또는 평탄도를 갖는 유리 기판 지지 장치.
  28. 유리 기판 지지 장치로서,
    챔버; 및
    상기 챔버에 대해 떨여져 배치된 다수의 선반을 포함하며, 각각의 상기 선반은 제 1 측면 및 그위에 배치된 다수의 지지 부재를 갖으며, 적어도 하나의 상기 지지 부재는,
    상기 선반의 제 1 측면에 접속된 베이스 구조 부재; 및
    상기 선반의 제 1 측면에 대해 떨어져 있는 상기 유리 기판을 지지하는 둥근 상부 부분을 포함하는 유리 기판 지지 장치.
  29. 제 28 항에 있어서, 상기 챔버는,
    열전달 유체를 흘려보내기 위한 저항성 히터 또는 도관을 갖는 적어도 하나의 측벽을 더 포함하는 유리 기판 지지 장치.
  30. 제 28 항에 있어서, 상기 둥근 상부 부분은 4 마이크로 인치의 표면 조도 또는 평탄도를 갖는 유리 기판 지지 장치.
  31. 제 28 항에 있어서, 상기 둥근 상부 부분은 반구형, 원뿔형 타원형 또는 포물선 단부를 포함하는 유리 기판 지지 장치.
  32. 제 28 항에 있어서, 상기 선반의 제 1 측면에 접속되는 다수의 장착 핀을 더 포함하며, 상기 각각의 핀은 각각의 지지 부재와 접속되는 유리 기판 지지 장치.
  33. 제 32 항에 있어서, 상기 베이스 구조 부재는 중공형이며 상기 장착 핀의 적어도 일부를 수용하는 유리 기판 지지 장치.
  34. 제 28 항에 있어서, 상기 다수의 지지 부재는,
    상기 선반의 주변부의 적어도 일부를 따라 배치된 제 1 세트의 지지 부재; 및
    상기 제 1 세트의 안쪽에 배치된 적어도 하나의 지지 부재를 포함하는 적어도 제 2 세트의 지지 부재를 더 포함하는 유리 기판 지지 장치.
  35. 제 28 항에 있어서, 적어도 하나의 상기 지지 부재는 비금속성 재료를 포함하는 유리 기판 지지 장치.
  36. 제 28 항에 있어서, 적어도 하나의 상기 지지 부재는 석영 또는 사파이어를 포함하는 유리 기판 지지 장치.
  37. 제 28 항에 있어서, 적어도 하나의 상기 지지 부재는 스테인레스 스틸 또는 니켈 합금을 포함하는 유리 기판 지지 장치.
  38. 제 28 항에 있어서, 적어도 하나의 상기 지지 부재는 코팅을 더 포함하는 유리 기판 지지 장치.
  39. 제 38 항에 있어서, 상기 코팅은 질화물층인 유리 기판 지지 장치.
  40. 제 38 항에 있어서, 상기 둥근 상부 부분은 4 마이크로 인치의 표면 조도 또는 평탄도를 갖는 유리 기판 지지 장치.
  41. 제 38 항에 있어서, 상기 코팅은 4 마이크로 인치의 표면 조도 또는 평탄도를 갖는 유리 기판 지지 장치.
  42. 유리 기판 지지 장치로서,
    적어도 하나의 측벽을 갖는 챔버 바디;
    상기 측벽에 접속된 다수의 지지 엘리먼트;
    상기 챔버 바디에 배치된 제 1 유리 이송 포트를 선택적으로 밀봉하는 제 1 슬릿 밸브; 및
    상기 챔버 바디에 배치된 제 2 유리 이송 포트를 선택적으로 밀봉하는 제 2슬릿 밸브;
    상기 지지 엘리먼트 상에 배치된 다수의 지지 부재를 포함하며, 적어도 상기 지지 부재는,
    상기 지지 엘리먼트에 접속되는 베이스 구조 부재; 및
    상기 지지 엘리먼트에 대해 떨어져있는 상기 유리 기판을 지지하는 둥근 상부 부분을 포함하는 유리 기판 지지 장치.
KR1020037015225A 2001-05-22 2002-05-02 Cvd용의 평탄한 다수부품의 기판 지지 부재 KR100570559B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US29300901P 2001-05-22 2001-05-22
US60/293,009 2001-05-22
US09/963,020 US6528767B2 (en) 2001-05-22 2001-09-24 Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US09/963,020 2001-09-24
PCT/US2002/013993 WO2002095808A1 (en) 2001-05-22 2002-05-02 Smooth multipart substrate support member for cvd

Publications (2)

Publication Number Publication Date
KR20040007594A true KR20040007594A (ko) 2004-01-24
KR100570559B1 KR100570559B1 (ko) 2006-04-13

Family

ID=26967690

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037015225A KR100570559B1 (ko) 2001-05-22 2002-05-02 Cvd용의 평탄한 다수부품의 기판 지지 부재

Country Status (8)

Country Link
US (2) US6528767B2 (ko)
EP (1) EP1390968B1 (ko)
JP (1) JP2005509275A (ko)
KR (1) KR100570559B1 (ko)
CN (1) CN1276466C (ko)
DE (1) DE60220787T2 (ko)
TW (1) TW591685B (ko)
WO (1) WO2002095808A1 (ko)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101069385B1 (ko) * 2009-06-08 2011-09-30 세메스 주식회사 기판 처리 장치
KR101308333B1 (ko) * 2010-02-15 2013-09-17 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 로드 로크 장치
KR101411620B1 (ko) * 2007-02-16 2014-06-25 엘아이지에이디피 주식회사 평판표시소자 제조장치의 로드 락 챔버
KR20170031504A (ko) * 2015-09-11 2017-03-21 주식회사 선익시스템 글라스기판 서포트방법

Families Citing this family (358)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040020789A1 (en) * 2000-02-17 2004-02-05 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US7374644B2 (en) * 2000-02-17 2008-05-20 Applied Materials, Inc. Conductive polishing article for electrochemical mechanical polishing
US20080156657A1 (en) * 2000-02-17 2008-07-03 Butterfield Paul D Conductive polishing article for electrochemical mechanical polishing
US6528767B2 (en) * 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
KR100429296B1 (ko) * 2002-09-09 2004-04-29 한국전자통신연구원 반도체 소자 제조 장치 및 이를 이용한 반도체 소자 제조방법
TWI220786B (en) * 2002-09-11 2004-09-01 Au Optronics Corp Supporting structure
JP2004260174A (ja) * 2003-02-25 2004-09-16 Samsung Electronics Co Ltd 半導体素子製造装置
US6917755B2 (en) * 2003-02-27 2005-07-12 Applied Materials, Inc. Substrate support
US20040226513A1 (en) * 2003-05-12 2004-11-18 Applied Materials, Inc. Chamber for uniform heating of large area substrates
US7355418B2 (en) * 2004-02-12 2008-04-08 Applied Materials, Inc. Configurable prober for TFT LCD array test
US7319335B2 (en) * 2004-02-12 2008-01-15 Applied Materials, Inc. Configurable prober for TFT LCD array testing
US8033245B2 (en) * 2004-02-12 2011-10-11 Applied Materials, Inc. Substrate support bushing
US6833717B1 (en) * 2004-02-12 2004-12-21 Applied Materials, Inc. Electron beam test system with integrated substrate transfer module
US7263894B2 (en) * 2004-06-07 2007-09-04 Radi Medical Systems Ab Sensor and guide wire assembly
US7497414B2 (en) 2004-06-14 2009-03-03 Applied Materials, Inc. Curved slit valve door with flexible coupling
US7535238B2 (en) * 2005-04-29 2009-05-19 Applied Materials, Inc. In-line electron beam test system
TWI295816B (en) 2005-07-19 2008-04-11 Applied Materials Inc Hybrid pvd-cvd system
CN101400991B (zh) * 2006-03-14 2013-03-20 应用材料公司 减小多个柱状电子束测试系统中的串扰的方法
JP5105396B2 (ja) * 2006-04-12 2012-12-26 東京応化工業株式会社 加熱処理装置
US7786742B2 (en) * 2006-05-31 2010-08-31 Applied Materials, Inc. Prober for electronic device testing on large area substrates
US7602199B2 (en) * 2006-05-31 2009-10-13 Applied Materials, Inc. Mini-prober for TFT-LCD testing
US20080105201A1 (en) * 2006-11-03 2008-05-08 Applied Materials, Inc. Substrate support components having quartz contact tips
US20080251019A1 (en) * 2007-04-12 2008-10-16 Sriram Krishnaswami System and method for transferring a substrate into and out of a reduced volume chamber accommodating multiple substrates
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5644256B2 (ja) * 2010-08-20 2014-12-24 豊田合成株式会社 化合物半導体の製造装置及び化合物半導体の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) * 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10242890B2 (en) * 2011-08-08 2019-03-26 Applied Materials, Inc. Substrate support with heater
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
CN102517565B (zh) * 2011-12-22 2015-06-17 上海华虹宏力半导体制造有限公司 直立式沉积炉管
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US8865602B2 (en) * 2012-09-28 2014-10-21 Applied Materials, Inc. Edge ring lip
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
DE102013106461B4 (de) * 2013-06-20 2016-10-27 Kgt Graphit Technologie Gmbh Haltestifte zum Halten von Wafern in Waferbooten und Verfahren zum Herstellen solcher Haltestifte
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US9650713B2 (en) 2015-03-12 2017-05-16 Kennamtetal Inc. PVD-coated cutting tools and method for making the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
WO2017001135A1 (en) 2015-07-02 2017-01-05 Asml Netherlands B.V. A substrate holder, a lithographic apparatus and method of manufacturing devices
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
CN105118803B (zh) * 2015-08-21 2019-01-22 京东方科技集团股份有限公司 顶针机构及支撑装置
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10720348B2 (en) 2018-05-18 2020-07-21 Applied Materials, Inc. Dual load lock chamber
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7110020B2 (ja) * 2018-07-24 2022-08-01 キオクシア株式会社 基板支持装置およびプラズマ処理装置
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
US11211269B2 (en) 2019-07-19 2021-12-28 Applied Materials, Inc. Multi-object capable loadlock system
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4621936A (en) * 1983-10-14 1986-11-11 Corning Glass Works Zirconia pen balls
FR2591138B1 (fr) * 1985-12-10 1988-04-08 Recif Sa Procede de fabrication d'embouts pour pipettes a vide notamment et les embouts obtenus par la mise en oeuvre du procede.
JPH0719150Y2 (ja) * 1986-10-31 1995-05-01 日本真空技術株式会社 ウェハ搬送ハンド
KR0155545B1 (ko) * 1988-06-27 1998-12-01 고다까 토시오 기판의 열처리 장치
JPH0666381B2 (ja) * 1989-05-18 1994-08-24 株式会社エンヤシステム ウエハチヤツク方法及び装置
US5445486A (en) * 1992-03-29 1995-08-29 Tokyo Electron Sagami Limited Substrate transferring apparatus
US5607009A (en) * 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
JP3151118B2 (ja) * 1995-03-01 2001-04-03 東京エレクトロン株式会社 熱処理装置
US6193506B1 (en) * 1995-05-24 2001-02-27 Brooks Automation, Inc. Apparatus and method for batch thermal conditioning of substrates
US5788458A (en) * 1995-07-10 1998-08-04 Asyst Technologies, Inc. Method and apparatus for vertical transfer of a semiconductor wafer cassette
US6113702A (en) * 1995-09-01 2000-09-05 Asm America, Inc. Wafer support system
US5605574A (en) * 1995-09-20 1997-02-25 Kabushiki Kaisha Toshiba Semiconductor wafer support apparatus and method
JP3328763B2 (ja) * 1995-10-30 2002-09-30 エヌティティエレクトロニクス株式会社 縦型ウエハボートのウエハ支持構造
JP3005461B2 (ja) * 1995-11-24 2000-01-31 日本電気株式会社 静電チャック
US5850071A (en) * 1996-02-16 1998-12-15 Kokusai Electric Co., Ltd. Substrate heating equipment for use in a semiconductor fabricating apparatus
JPH09283605A (ja) * 1996-04-09 1997-10-31 Canon Inc 基板の吸着保持装置およびその製造方法
US5788304A (en) * 1996-05-17 1998-08-04 Micron Technology, Inc. Wafer carrier having both a rigid structure and resistance to corrosive environments
US6091498A (en) * 1996-07-15 2000-07-18 Semitool, Inc. Semiconductor processing apparatus having lift and tilt mechanism
JP2887112B2 (ja) * 1996-08-23 1999-04-26 芝浦メカトロニクス株式会社 スピン処理装置
US5836575A (en) * 1996-10-30 1998-11-17 Micron Electronics, Inc. Wafer manual handpick station
US5984391A (en) 1997-02-03 1999-11-16 Novellus Systems, Inc. Microfeature wafer handling apparatus and methods
KR100284567B1 (ko) * 1997-04-15 2001-04-02 후지이 아키히로 수직 웨이퍼 보트
US6257827B1 (en) 1997-12-01 2001-07-10 Brooks Automation Inc. Apparatus and method for transporting substrates
US6077026A (en) 1998-03-30 2000-06-20 Progressive System Technologies, Inc. Programmable substrate support for a substrate positioning system
US5969934A (en) * 1998-04-10 1999-10-19 Varian Semiconductor Equipment Associats, Inc. Electrostatic wafer clamp having low particulate contamination of wafers
US6085670A (en) * 1998-05-05 2000-07-11 Genmark Automation, Inc. Tiltable Z-axis platform based on uni-directional tilt platform
US6213704B1 (en) 1998-05-20 2001-04-10 Applied Komatsu Technology, Inc. Method and apparatus for substrate transfer and processing
US6146504A (en) * 1998-05-21 2000-11-14 Applied Materials, Inc. Substrate support and lift apparatus and method
JP3333135B2 (ja) * 1998-06-25 2002-10-07 東京エレクトロン株式会社 熱処理装置及び熱処理方法
JP2000091406A (ja) * 1998-09-08 2000-03-31 Mitsubishi Materials Silicon Corp ウェーハ保持具
US6143147A (en) * 1998-10-30 2000-11-07 Tokyo Electron Limited Wafer holding assembly and wafer processing apparatus having said assembly
JP2000150402A (ja) * 1998-11-09 2000-05-30 Shin Etsu Handotai Co Ltd 基板支持治具
US6256555B1 (en) 1998-12-02 2001-07-03 Newport Corporation Robot arm with specimen edge gripping end effector
KR100309920B1 (ko) * 1998-12-16 2002-10-25 삼성전자 주식회사 기판의언로딩장치및언로딩방법
US6187134B1 (en) * 1999-07-09 2001-02-13 The Board Of Trustees Of The Leland Stanford Junior University Reusable wafer support for semiconductor processing
US6322116B1 (en) * 1999-07-23 2001-11-27 Asm America, Inc. Non-contact end effector
US6452195B1 (en) * 1999-08-18 2002-09-17 Ibis Technology Corporation Wafer holding pin
US6345150B1 (en) * 1999-11-30 2002-02-05 Wafermasters, Inc. Single wafer annealing oven
US6528767B2 (en) 2001-05-22 2003-03-04 Applied Materials, Inc. Pre-heating and load lock pedestal material for high temperature CVD liquid crystal and flat panel display applications
US6634686B2 (en) * 2001-10-03 2003-10-21 Applied Materials, Inc. End effector assembly
US20030072639A1 (en) * 2001-10-17 2003-04-17 Applied Materials, Inc. Substrate support

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101411620B1 (ko) * 2007-02-16 2014-06-25 엘아이지에이디피 주식회사 평판표시소자 제조장치의 로드 락 챔버
KR101069385B1 (ko) * 2009-06-08 2011-09-30 세메스 주식회사 기판 처리 장치
KR101308333B1 (ko) * 2010-02-15 2013-09-17 도쿄엘렉트론가부시키가이샤 기판 처리 장치 및 로드 로크 장치
KR20170031504A (ko) * 2015-09-11 2017-03-21 주식회사 선익시스템 글라스기판 서포트방법

Also Published As

Publication number Publication date
CN1276466C (zh) 2006-09-20
US6924462B2 (en) 2005-08-02
WO2002095808A1 (en) 2002-11-28
DE60220787D1 (de) 2007-08-02
US20020175160A1 (en) 2002-11-28
US6528767B2 (en) 2003-03-04
KR100570559B1 (ko) 2006-04-13
TW591685B (en) 2004-06-11
DE60220787T2 (de) 2008-04-10
EP1390968B1 (en) 2007-06-20
EP1390968A1 (en) 2004-02-25
US20030164362A1 (en) 2003-09-04
JP2005509275A (ja) 2005-04-07
CN1518757A (zh) 2004-08-04

Similar Documents

Publication Publication Date Title
KR100570559B1 (ko) Cvd용의 평탄한 다수부품의 기판 지지 부재
JP4640917B2 (ja) 基板支持体
TW561575B (en) Substrate support
TWI718226B (zh) 非金屬性熱化學氣相沉積/原子層沉積氣體注入器與淨化系統
US6331212B1 (en) Methods and apparatus for thermally processing wafers
US8033245B2 (en) Substrate support bushing
TW563222B (en) Apparatus and method for thermally isolating a heat chamber
US6907924B2 (en) Thermally conductive chuck for vacuum processor
US5936829A (en) Thermally conductive chuck for vacuum processor
US20050279138A1 (en) Method and device for heat treatment
US20100047447A1 (en) Multiple substrate item holder and reactor
JP4510623B2 (ja) 加熱チャンバの熱絶縁装置及び方法
TW202246569A (zh) 用於腔室內電阻加熱元件的腔室主體饋通
WO2010009048A2 (en) Tube diffuser for load lock chamber

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment
FPAY Annual fee payment
LAPS Lapse due to unpaid annual fee